EDA习题集
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《电子设计自动化(EDA)》习题集
第一章、EDA技术概述
一、填空题
1、一般把EDA技术的发展分为 、
、 三个阶段。
2、EDA设计流程包括 、 、
、 四个步骤。
3、EDA的设计验证包括 、 、 三个过程。
4、EDA的设计输入包括 、 、 。
5、当前最流行的并成为IEEE标准的硬件描述语言包括
和 。
6、将硬件描述语言转化为硬件电路的重要工具软件称
为 。
二、单项选择题
1、VHDL语言属于 描述语言。
A.普通硬件 B. 行为 C. 高级
D. 低级
2、基于硬件描述语言HDL的数字系统设计目前最常用的设计方法
为
A. 自底向上
B. 自顶向下
C. 积木式
D. 顶层
3、在EDA工具中,能将硬件描述语言转化为硬件电路的重要工具软件称为
A.仿真器 B. 综合器 C. 适配器 D.下载器4、在EDA工具中,能完成目标系统器件上布局软件称为
A.仿真器 B. 综合器 C. 适配器 D.下载器
第2章、大规模可编程逻辑器件
一、填空题
1、集成度是集成电路一项重要的指标,可编程逻辑器件按集成密度可分为 和 两类。
2、可编程逻辑器件的编程方式可分为 和 两类。
3、基于EPROM、E2PROM和快闪存储器件的可编程器件,在系统断电后编程信息 。
4、基于SRAM结构的可编程器件,在系统断电后编程信
息 。
5、CPLD器件中至少包括 、 、 三种结构。
6、FPGA的三种可编程电路分别是 、
、 三种结构。
7、根据逻辑功能块的大小不同,可将FPGA分为
和 两类;据FPGA内部连线结构的不同,可将FPGA分
为 和 两类;据FPGA采用的开关元件不同,可将FPGA分为 和 两类.
8、目前常见的可编程逻辑器件的编程和配置工艺包括基
于 、基于 和基
于 三种编程工艺。
二、 单项选择题
1、在下列可编程逻辑器件中,不属于高密度可编程逻辑器件的
是
A. EPLD
B. CPLD
C. FPGA
D. PAL
2、在下列可编程逻辑器件中,属于易失性器件的是
A. EPLD
B. CPLD
C. FPGA
D. PAL
3、在自顶向下的设计过程中,描述器件总功能的模块一般称
为
A.底层设计 B. 顶层设计 C. 完整设计 D. 全面设计4、边界扫描测试技术主要解决 的测试问题
A.印制电路板 B. 数字系统 C. 芯片 D. 微处理器
三、 简答题
1、CPLD和FPGA有什么差异?在实际应用中各有什么特点?
第3章、VHDL编程基础
一、填空题
1、VHDL设计实体的基本结构由 、
、 、 和 等部分组成。
2、 和 是设计实体的基本组成部分,他们可以构成最基本的VHDL程序。
3、在VHDL的端口声明语句中,端口方向包括 、
、 和 。
4、VHDL的数据对象包括 、 和 ,它们是用来存放各种类型数据的容器。
5、VHDL的操作符包括 、 、
和 。
6、VHDL的顺序语句只能出现在 、 和 中,是按照书写顺序自上而下,一条一条执行。
7、VHDL的进程(process)语句是由 组成的,但其本身却是 。
二、单项选择题
1、一个实体可以拥有一个或多个
A. 设计实体
B. 结构体
C. 输
入 D.输出
2、在VHDL中用 来把特定的结构体关联到一个确定的实体。
A. 输入
B. 输出
C. 综
合 D. 配置
3、在下列标识符中, 是VHDL合法的标识符
A. 4h_add
B. h_adde_
C.
h_adder D._h_adde
4、在下列标识符中, 是VHDL错误的标识符
A. 4h_add
B. h_adde4
C.
h_adder_4 D.h_adde
5、在VHDL中为目标变量赋值符号为
A. =
B. <=
C. :
= D. =:
6、在VHDL语言中,用语句 表示检测到时钟clk的上升沿
A. clk’event
B. clk’event and clk = ‘1’
C. clk = ‘0’
D. clk’event and clk = ‘0’
7、在VHDL的并行语句之间中,只能用 来传送信息
A. 变量
B. 变量和信号
C. 信号
D. 常量
8、VHDL块语句是并行语句结构,它的内部是由 语句构成的
A. 并行和顺序
B. 顺序
C.并行
D. 任何
9、若S1为”1010”, S2为”0101”,下面程序执行后,outValue输出结果为: 。
library ieee;
use ieee.std_logic_1164.all;
entity ex is
port(S1: in std_logic_vector(3 downto 0);
S2: in std_logic_vector(0 to 3);
outValue: out std_logic_vector(3 downto 0));
End ex;
architecture rtl of ex is
begin
outValue(3 downto 0) <= (S1(2 downto 0) and not S2(1 to 3)) & (S1(3) xor S2(0)) ;
end rtl;
A、 “0101”
B、 “0100”
C、“0001”
D、“0000”
10、假设输入信号a=“6”,b=“E”,则以下程序执行后,c的值为。
entity logic is
port( a,b : in std_logic_vector(3 downto 0);
c : out std_logic_vector(7 downto 0));
end logic;
architecture a of logic is
begin
c(0) <= not a(0);
c(2 downto 1) <= a(2 downto 1) and b(2 downto 1);
c(3) <= '1' xor b(3) ;
c(7 downto 4) <= "1111" when (a (2)= b(2)) else "0000";
end a;
A “F8” B“FF” C“F7”
D“0F”
第四章、EDA开发软件及EDA实验开发平台
一、填空题
1、Maxplus Ⅱ支持 、 、
和 等不同编辑方式。
2、指定设计电路的输入/输出端口与目标芯片引脚的连接关系的过程称为 。
3、在完成设计电路的输入/输出端口与目标芯片引脚的锁定后,再次对设计电路的仿真称 。
二、单项选择题
1、Maxplus Ⅱ是
A.高级语言 B. 硬件描述语言 C. EDA工具软件
D. 综合软件
2、在使用Maxplus Ⅱ工具软件实现文本输入时应采用 方式。
A. 图形编辑
B. 文本编辑
C. 符号编
辑 D. 波形编辑
3、执行Maxplus Ⅱ的 命令,可以对设计电路进行功能仿真或时序仿真
A. Create Default Symbol
B. Simulator
C.
Compiler D. Timing Analyzer
4. Maxplus Ⅱ的图形设计文件类型是
A. .scf
B. .gdf
C. .vhd
D. .v
《数字电路EDA设计》习题集
参考答案
第一章答案:
填空题:
1、CAD、CAE、EDA
2、设计准备、设计输入、设计处理、器件编程
3、功能仿真、时序仿真、器件测试
4、文本输入方式、图形输入方式、波形输入方式
5、VHDL语言 Verilog HDL语言
6、HDL综合器
选择题:
B B B C
第二章答案:
填空题:
1、低密度可编程逻辑器件 高密度可编程逻辑器件
2、一次性可编程(OTP) 可多次编程(MTP)
3、不丢失
4、会丢失
5、可编程逻辑宏单元、可编程I/O单元、可编程内部连线
6、可编程逻辑块CLB、输入/输出模块IOB、互连资源
7、细粒度、粗粒度;分段互连型、连续互连型;一次编程型、可重复编程型
8、电可擦存储单元的E2PROM或Flash技术、SRAM查找表的编程单元、反熔丝编程单元
选择题:
D C B C
简答题:(参考)
1、差异:(1)CPLD:复杂可编程逻辑器件,FPGA:现场可变成门阵列;(2)CPLD:基于乘积项技术的确定型结构,FPGA:基于查找表技术的统计型结构;(3)CPLD:5500 ~ 50000门,FPGA:1K ~ 10M 门 。
实际应用中各自的特点:CPLD适用于逻辑密集型中小规模电路,编程数据不丢失,延迟固定,时序稳定; FPGA适用于数据密集型大规模电路,需用专用的 ROM 进行数据配置,布线灵活,但时序特性不稳定
第三章答案:
填空题:
1、库、实体、结构体、程序包、配置
2、实体、结构体
3、in out buffer inout
4、常数constant、变量variable、信号signal
5、逻辑操作符、关系操作符、算术操作符、符号操作符
6、进程process、函数function、过程procedure
7、顺序语句、并行语句
选择题:
B D B A C
B C C A C
第四章答案:
填空题:
1、图形、符号、文本、波形
2、引脚锁定
3、时序仿真或后仿真
选择题:
C B B B。