可编程逻辑实验报告
可编程逻辑器件实验报告
可编程逻辑器件实验报告可编程逻辑器件实验报告一、引言可编程逻辑器件(Programmable Logic Device,简称PLD)是一种集成电路器件,它具有可编程的逻辑功能。
PLD的出现极大地推动了数字电路设计的发展,使得设计师能够更加灵活地实现各种复杂的逻辑功能。
本实验旨在通过对PLD的实际应用,加深对其原理和工作方式的理解。
二、实验目的1. 理解PLD的基本原理和工作方式;2. 学会使用PLD进行逻辑电路设计;3. 掌握PLD编程工具的使用方法。
三、实验装置与材料1. PLD芯片:采用Xilinx系列XC9500;2. 开发板:配备了适配XC9500芯片的开发板;3. 逻辑分析仪:用于对PLD工作过程进行实时观测。
四、实验步骤1. 准备工作:a. 将PLD芯片插入开发板的插槽中,并确保插入正确;b. 连接逻辑分析仪与开发板,以便实时观测PLD的工作过程;c. 打开PLD编程工具,准备进行逻辑电路设计。
2. 逻辑电路设计:a. 根据实验要求,确定需要设计的逻辑电路功能;b. 在PLD编程工具中创建新的工程,并选择适合的PLD芯片型号;c. 使用工具提供的逻辑图绘制功能,设计出所需的逻辑电路;d. 对设计好的逻辑电路进行仿真验证,确保功能正确。
3. 编程与下载:a. 将设计好的逻辑电路与PLD芯片进行绑定;b. 进行编程操作,生成逻辑电路的配置文件;c. 将配置文件下载到PLD芯片中,使其能够按照设计的逻辑功能进行工作。
4. 实验验证:a. 将开发板接通电源,观察PLD芯片的工作状态;b. 使用逻辑分析仪对PLD的输入输出信号进行实时观测;c. 对比实验设计的逻辑功能和实际观测到的结果,验证PLD的正确性。
五、实验结果与分析通过实验验证,我们成功设计出了一个简单的逻辑电路,并将其下载到PLD芯片中。
在实际观测中,我们发现PLD能够准确地根据输入信号产生相应的输出信号,实现了预期的逻辑功能。
这充分证明了PLD的可编程性和灵活性。
pld在数字电路实验报告总结
pld在数字电路实验报告总结一、实验目的本实验旨在探究可编程逻辑器件(PLD)在数字电路设计中的应用,通过实际操作了解PLD的工作原理、编程方法以及其在电路设计中的作用。
二、实验原理可编程逻辑器件(PLD)是一种可以通过编程来实现特定逻辑功能的集成电路。
常见的PLD包括CPLD(复杂可编程逻辑器件)和FPGA(现场可编程门阵列)。
PLD具有灵活性强、集成度高、可靠性高等优点,广泛应用于数字电路设计中。
三、实验步骤1. 准备PLD开发板和相关软件,如Xilinx ISE、Vivado等。
2. 设计数字电路,使用硬件描述语言(HDL)如VHDL或Verilog编写程序,实现所需的功能。
3. 使用PLD开发板的编程软件将程序烧录到PLD中。
4. 连接PLD开发板与测试仪器,如逻辑分析仪、示波器等,进行功能验证和性能测试。
5. 根据测试结果对电路设计进行优化,提高性能并确保功能的正确性。
四、实验结果与分析通过本次实验,我们成功地使用PLD实现了一个简单的数字电路设计。
以下是实验结果的分析:1. PLD的编程语言包括VHDL和Verilog,本实验使用了Verilog语言进行编程。
Verilog语言具有简洁、易读、易写等特点,使得数字电路设计更加方便快捷。
2. PLD具有高度的可重配置性,使得数字电路的设计具有很高的灵活性。
通过修改程序,我们可以方便地对电路的功能进行修改或扩展。
3. 通过与传统的数字电路设计方法相比,使用PLD可以将设计周期缩短,提高了设计的可靠性和性能,同时降低了设计的成本。
4. PLD在实现复杂数字电路方面具有很大的优势。
由于其高度的可重配置性,我们可以将一个复杂的数字系统划分为多个独立的模块,每个模块都可以单独进行设计和优化。
这种方法大大提高了设计的效率和可靠性。
5. 在本次实验中,我们使用了Xilinx ISE和Vivado软件进行程序的编写和烧录。
这些软件具有友好的用户界面和强大的功能,使得编程和调试过程变得更加简单和方便。
可编程逻辑器件实验报告
一、实验目的通过本次实验,使学生掌握可编程逻辑器件(FPGA)的基本原理和操作方法,了解其结构特点和应用领域。
通过实验,培养学生动手实践能力和创新意识,提高学生运用FPGA进行数字系统设计和验证的能力。
二、实验原理可编程逻辑器件(FPGA)是一种高度集成的数字电路,具有可编程性、可扩展性和可重用性。
FPGA主要由可编程逻辑单元、可编程互连资源、时钟管理单元、I/O单元等组成。
通过编程,用户可以根据自己的需求定制FPGA内部逻辑结构,实现各种数字电路功能。
FPGA编程通常采用硬件描述语言(HDL),如VHDL或Verilog。
HDL描述了电路的功能和结构,通过编译和综合,生成FPGA内部的逻辑资源分配和互连关系。
实验中,我们将使用Quartus II软件进行FPGA编程和仿真。
三、实验内容1. FPGA基础操作(1)安装Quartus II软件,熟悉软件界面和基本操作。
(2)搭建FPGA实验平台,包括FPGA开发板、电源、连接线等。
(3)将FPGA开发板连接到计算机,进行硬件初始化和配置。
2. FPGA编程(1)使用VHDL或Verilog语言编写实验程序,实现简单的数字电路功能,如全加器、编码器、译码器等。
(2)将编写好的程序导入Quartus II软件,进行编译和综合。
(3)观察编译报告,检查程序语法错误和资源占用情况。
(4)进行仿真,验证程序功能是否正确。
3. FPGA下载与验证(1)将编译后的程序下载到FPGA芯片中。
(2)使用示波器或逻辑分析仪等工具,观察FPGA输出的波形,验证程序功能。
(3)根据实验要求,修改程序参数,优化电路性能。
四、实验步骤1. 搭建实验平台(1)将FPGA开发板连接到计算机,确保所有连接线正确。
(2)检查电源电压,确保FPGA芯片供电正常。
2. 编写程序(1)打开Quartus II软件,创建新工程。
(2)选择合适的HDL语言,编写实验程序。
(3)保存程序,并添加到工程中。
可编程逻辑器件实验报告
可编程逻辑器件作业北京工业大学电子信息工程实验班王智一09022128一、实验内容1.3×8译码器(1)设计输入①建立新的工程,建立新的VHDL FILE,输入程序代码(注意:工程文件夹、工程名称以及顶层实体名推荐使用相同的名称):程序代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity demo isPort ( A : in STD_LOGIC_VECTOR (2 downto 0);EN: in STD_LOGIC;Y : out STD_LOGIC_VECTOR (7 downto 0));end demo;architecture DEC of demo issignal indata:STD_LOGIC_VECTOR (2 downto 0);beginprocess(A,EN)beginindata(0)<=A(0);indata(1)<=A(1);indata(2)<=A(2);if(EN='1')thencase indata iswhen "000" =>Y<="00000001";when "001" =>Y<="00000010";when "010" =>Y<="00000100";when "011" =>Y<="00001000";when "100" =>Y<="00010000";when "101" =>Y<="00100000";when "110" =>Y<="01000000";when "111" =>Y<="10000000";when others=>Y<="11111111";end case;end if;end process;end DEC;(2)编译实验仿真波形:图1 3×8译码器输入波形图2 3×8译码器输出波形图3 3×8译码器管脚配置截图图4 3×8译码器Xilinx仿真波形2.数码管显示(1)设计输入程序代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY demo ISPORT(di: in STD_LOGIC_VECTOR(3 downto 0);a: out STD_LOGIC;b: out STD_LOGIC;c: out STD_LOGIC;d: out STD_LOGIC;e: out STD_LOGIC;f: out STD_LOGIC;g: out STD_LOGIC);END demo;ARCHITECTURE a of demo isbeginprocess(di)type data_out is array(0 to 6) of std_logic;variable outp: data_out;begincase di iswhen "0000"=>outp:="1111110";when "0001"=>outp:="0110000";when "0010"=>outp:="1101101";when "0011"=>outp:="1111001";when "0100"=>outp:="0110011";when "0101"=>outp:="1011011";when "0110"=>outp:="1011111";when "0111"=>outp:="1110000";when "1000"=>outp:="1111111";when "1001"=>outp:="1111011";when "1010"=>outp:="1110111";when "1011"=>outp:="0011111";when "1100"=>outp:="1001110";when "1101"=>outp:="0111101";when "1110"=>outp:="1001111";when "1111"=>outp:="1000111";when others=>null;end case;a<=outp(0);b<=outp(1);c<=outp(2);d<=outp(3);e<=outp(4);f<=outp(5);g<=outp(6);end process;end a;(2)编译实验仿真波形:图5 数码管输出波形3.BPSK的解调(1)BPSK的解调原理:调相信号是通过载波的相位变化来传输消息的,它具有恒定的包络,而且频率上也无法分离,所以不能采用包络解调,只能采用相干解调。
PLC 实验报告
PLC 实验报告《PLC 实验报告》一、实验目的本次 PLC 实验的主要目的是熟悉 PLC(可编程逻辑控制器)的基本工作原理和操作方法,通过实际编程和运行,掌握 PLC 在工业控制中的应用,提高对自动化控制技术的理解和实践能力。
二、实验设备1、 PLC 实验箱实验箱内包含 PLC 主机、输入输出模块、电源模块等。
2、编程软件使用了_____品牌的 PLC 编程软件,用于编写和调试 PLC 程序。
3、连接线缆用于连接 PLC 主机与计算机,实现程序的下载和上传。
4、实验对象实验中使用了_____作为被控对象,例如电机、灯光等。
三、实验原理PLC 是一种专门为在工业环境下应用而设计的数字运算操作的电子装置。
它采用可编程序的存储器,用来在其内部存储执行逻辑运算、顺序控制、定时、计数和算术运算等操作的指令,并通过数字式或模拟式的输入和输出,控制各种类型的机械或生产过程。
PLC 的工作原理大致分为三个阶段:输入采样阶段、程序执行阶段和输出刷新阶段。
在输入采样阶段,PLC 依次读取输入端口的状态,并将其存储在输入映像寄存器中;在程序执行阶段,PLC 按照用户编写的程序,对输入映像寄存器和输出映像寄存器中的数据进行逻辑运算和算术运算,并将结果存储在输出映像寄存器中;在输出刷新阶段,PLC 将输出映像寄存器中的数据传送到输出端口,从而控制外部设备的运行。
四、实验内容1、基本指令实验熟悉 PLC 的基本指令,如常开触点、常闭触点、线圈、定时器、计数器等。
通过编写简单的程序,实现对灯光的开关控制、电机的正反转控制等。
2、顺序控制实验掌握 PLC 的顺序控制编程方法,使用顺序功能图(SFC)编写程序,实现对生产流水线的控制,例如物料的输送、加工、分拣等过程。
3、模拟量处理实验学习 PLC 对模拟量的采集和处理,通过模拟量输入模块采集外部传感器的信号,如温度、压力等,并在程序中进行数据转换和处理,实现对被控对象的精确控制。
可编程控制器实验报告
可编程控制器实验报告一、实验介绍可编程控制器(Programmable Logic Controller,PLC)是一种工业自动化控制设备,通过不同的输入信号(如传感器、按钮等)和程序控制输出的动作(如电机、气缸等),可实现对生产过程的自动化控制。
本实验通过使用可编程逻辑控制器,学习了PLC的使用和编程方法,同时掌握了PLC的组成结构和工作原理。
二、实验设备及材料1.可编程逻辑控制器2.接线板3.按钮4.继电器5.灯泡6.蜂鸣器7.导电线三、实验步骤1. 通过模拟输入信号和输出动作的方式,简单了解PLC的工作原理。
2.配置PLC的输入和输出端口,按要求将按钮、继电器、灯泡、蜂鸣器等连线。
3.在编程软件中编写程序,实现按下按钮后灯泡亮起,同时蜂鸣器发出声音的功能。
4.测试程序的正确性,调整程序并重新测试,直到功能正常。
四、实验过程1.了解PLC的工作原理PLC是根据图形化的编程语言实现控制逻辑的,通过感应输入信号后,将这些信号解释成一组指令,再由CPU按照程序的一定的算法进行处理,最后控制输出动作的状态。
我们通过设置按钮为PLC的输入信号,同时连接灯泡和蜂鸣器为输出动作,简单了解了PLC 的工作原理。
2.配置输入输出端口根据实验要求,我们将两个按钮分别连接在PLC的第一和第二个输入端口上,将灯泡和蜂鸣器连接在PLC的第一个输出端口上,将继电器连接在第二个输出端口上。
3.编写程序在连接好电路后,我们打开PLC的编程软件,进行程序编写。
在左侧工具栏中找到按钮组件,拖拽到程序区域。
然后,在按钮的属性设置中,将按钮的输入端口选择为PLC的第一个端口。
接下来,在工具栏中找到灯泡和蜂鸣器组件,同样将它们拖拽到程序区域,并将它们的输出端口设置为PLC的第一个端口。
然后,编写一个简单的IF语句,将按钮按下后灯泡和蜂鸣器同时发出信号的功能实现:IF 按钮=ON THEN灯泡=ON蜂鸣器=ONENDIF将程序进行编译,将程序上传至PLC,并将PLC设备电源打开,进行实验测试。
西安邮电大学可编程逻辑实验报告
可编程逻辑实验院系名称 :电子工程学院学生姓名 : 专业名称 : 电子科学与技术班 级 :学号 :实验名称:门电路的设计实验一:用原理图输入法设计门电路实验目的:1.掌握PLD芯片的基本使用方法,熟悉EDA软件MAX+plus的操作。
1.学会利用软件仿真和实现用硬件对数字电路的逻辑功能进行验证和分析。
器材:PC实验内容:实现1、F=/AB 2、F=AB+CD实验结果:1.F=/AB原理图:仿真结果:2.F=AB+CD原理图:仿真结果:实验二:用原理图输入法设计门电路实验目的:1.进一步掌握PLD芯片的基本使用方法,熟悉EDA软件MAX+plus的操作。
2.学会利用软件仿真和实现用硬件对数字电路的逻辑功能进行验证和分析。
3.学习初步的VHDL程序设计方法。
器材:PC实验内容:实现3、F=A⊕B4、F=/abc+/d实验结果:3、F=A⊕B源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity xor2 isport(a,b:in std_logic;F:out std_logic);end;architecture main of xor2 isbeginF<=a xor b;end;仿真结果:4、F=/abc+/d源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity zhonghe isport(a,b,c,d:in std_logic;F:out std_logic);end;architecture main of zhonghe issignal g,h,y,m,n:std_logic;beginm<=not a;g<=m and b;h<=g and c;n<=not d;y<=h or n;F<=y;end;仿真结果:实验小结:本实验为第一次DEA实验,不免有些兴奋和好奇,加之老师讲的比较好,所以基本上没有遇到什么问题。
PLD可编程逻辑器件-可编程逻辑器件设计及应用试验报告33页 精品
H a r b i n I n s t i t u t e o f T e c h n o l o g y可编程逻辑器件设计及应用实验报告实验一:电路图方法设计:异步16分频一、实验内容1、熟悉ISE M的安装及使用2、熟悉电路图方式的输入方法;3、熟悉ISE环境下的波形仿真4、学习SIE安装过程5、建立一个新的工程(cpld 9500系列)6、输入电路图异步16分频,如图1-1所示:图1-1二实验结果1、异步16分频电路图如图1-2所示:图1-2 2、建立测试波形方法仿真激励图形,如图1-3所示:图1-33、引脚约束条件如下:NET "CLK" LOC = "P6";NET "CLR" LOC = "P7";NET "O1" LOC = "P42";NET "O2" LOC = "P37";NET "O3" LOC = "P40";NET "O4" LOC = "P39";4、最终仿真结果如图1-4,1-5所示:图1-4图1-5三实验结果讨论分析通过本次试验,初步掌握了ISE的使用方法,通过ISE自带库文件完成电路的搭建,实现了对输入时钟的2分频,4分频,8分频和16分频,通过最终的试验验证得到了正确的试验结果。
指导教师签字:实验二电路图方法分层设计:全加器一、实验内容1、建立一个新的工程(cpld 9500系列)2、建立一个独立的电路图(All_ADD)3、输入电路图:一位全加器图2-1一位全加器4、建立测试波形方法仿真激励图形图2-2波形仿真激励5、功能仿真记录结果,分析正确性。
6、生成电路模块图2-3模块建立7、利用电路模块设计8位全加器,(新电路图或者顶层电路图)图2-4 8位全加器(顶层电路图)8、建立测试波形方法仿真激励图形图2-5 8位全加器波形仿真激励9、学习总线数据预置方式:通过使用相同名字来对总线进行连接设置,和总线数据格式。
PLC可编程控制器及应用实验报告
PLC可编程控制器及应用实验报告引言:PLC(Programmable Logic Controller)可编程逻辑控制器是一种专门用于工业自动化控制的设备,它通过可编程的指令集来实现对工业过程的控制和监控。
本实验旨在了解PLC的基本原理和应用,通过实际操控PLC来完成一系列的控制任务,进一步掌握PLC的相关知识和技术。
一、实验目的:1.了解PLC的基本组成和工作原理。
2.掌握PLC的操作方法和参数设置。
3.通过实际操作控制PLC完成一系列的控制任务。
4.分析PLC在实际工程中的应用。
二、实验设备:1.PLC设备(以西门子S7-1200系列为例)。
2.电源、开关、按钮、继电器等控制器组件。
三、实验内容和步骤:1.PLC的连接和初始化:a.将PLC与电源、控制器组件等连接好。
b.按照PLC的说明书进行初始化设置。
2.编写和加载程序:a.使用PLC编程软件进行程序的编写。
b.将程序通过编程软件加载到PLC中。
3.实验一:PLC的基本控制:a.编写一个简单的程序,实现通过按钮控制灯的亮灭。
b.将程序加载到PLC中,并通过控制按钮控制灯的亮灭。
4.实验二:PLC的时间控制:a.编写一个程序,控制电机在按下按钮后延时工作一段时间。
b.将程序加载到PLC中,并通过控制按钮控制电机的延时工作。
5.实验三:PLC的逻辑控制:a.编写一个程序,实现通过多个输入端口的信号进行逻辑控制。
b.将程序加载到PLC中,并通过控制输入信号进行逻辑控制。
四、实验结果和分析:1.实验一结果:通过按钮控制灯的亮灭。
实验结果表明,PLC可以通过编写简单的程序实现对外部控制信号的响应,并进一步控制其他设备的操作。
这为工业自动化控制提供了很大的便利。
2.实验二结果:通过按钮控制电机的延时工作。
实验结果表明,PLC不仅可以实现简单的控制功能,还可以通过程序来实现复杂的控制逻辑,如时间控制等。
这使得PLC在工业自动化中的应用更加广泛。
3.实验三结果:通过逻辑控制实现多信号的集成控制。
一位全加器_可编程逻辑器件VHDL实验报告
1.一位全加器实验报告一、实验目的要求学习计数器的设计、仿真和硬件测试,进一步熟悉VHDL设计技术。
设计程序独立完成全加器的仿真。
全加器由两个半加器组合而成,原理类似。
半加器不考虑低位进位,但有高位进位;全加器要考虑低位的进位且该进位和求和的二进制相加,可能获得更高的进位。
二、设计方法与原理图图1是一个一位二进制全加器电路图,由图1所示,由两个半加器和一个或门构成一个一位二进制全加器;ain,bin为全加器的输入端,cin为全加器的低位进位,sum是全加器的全加和,cout是全加器的全加进位端;从而实现一位二进制全加器。
(图1)一位二进制全加器原理图三、实验内容按照教材上的步骤,在max plus II上进行编辑、编译、综合、适配、仿真。
说明例中各语句的作用,详细描述示例的功能特点,给出其所有信号的时序仿真波形。
四、源程序library ieee;use ieee.std_logic_1164.all;entity full_adder isport(a,b,cin:in std_logic;cout,sum:out std_logic);end entity full_adder;architecture fd1 of full_adder iscomponent h_adderport(a,b:in std_logic;co,so:out std_logic);end component;component or2aport(a,b:in std_logic;c:out std_logic);end component;signal d,e,f:std_logic;beginu1:h_adder port map(a=>ain,b=>bin,co=>d,so=>e); u2:h_adder port map(a=>e,b=>cin,co=>f,so=>sum); u3:or2a port map(a=>d,b=>f,c=>cout);end fd1;五过程性截图六、仿真结果(图2)一位二进制全加器仿真结果七、分析结果与总结由图2,本实验的目标已达成,及通过编写VHDL语言实现一个一位二进制全加器。
可编程实验报告
一、实验目的1. 熟悉可编程实验系统的基本操作和功能。
2. 学习使用可编程实验系统进行数据采集和信号处理。
3. 培养独立思考和解决问题的能力。
二、实验原理可编程实验系统是一种基于计算机技术的实验设备,通过编程实现对实验过程的控制、数据采集和信号处理。
本实验利用可编程实验系统,对信号进行采集、处理和分析,实现对信号的检测和测量。
三、实验设备1. 可编程实验系统2. 信号发生器3. 数据采集卡4. 计算机5. 信号线四、实验步骤1. 连接实验设备(1)将信号发生器输出端与可编程实验系统的输入端相连。
(2)将数据采集卡插入计算机的USB接口。
(3)将信号线连接信号发生器输出端和数据采集卡输入端。
2. 编写实验程序(1)打开可编程实验系统软件,创建一个新项目。
(2)在程序编辑器中,编写数据采集和信号处理的程序。
程序主要包括以下内容:a. 初始化数据采集卡。
b. 设置采集参数,如采样频率、采样点数等。
c. 采集信号数据。
d. 对采集到的信号进行预处理,如滤波、放大等。
e. 对预处理后的信号进行特征提取。
f. 分析信号特征,得出实验结果。
3. 运行实验程序(1)编译程序,生成可执行文件。
(2)运行程序,观察实验结果。
4. 实验数据整理(1)将采集到的信号数据保存为文件。
(2)对实验数据进行整理和分析,得出实验结论。
五、实验结果与分析1. 实验结果通过实验,成功采集并处理了信号。
实验结果显示,信号在经过预处理和特征提取后,能够较好地反映信号的特性。
2. 分析(1)实验过程中,数据采集卡和可编程实验系统运行稳定,采集到的信号数据准确可靠。
(2)通过编程实现对信号的采集和处理,提高了实验效率和准确性。
(3)实验结果表明,可编程实验系统在信号处理方面具有广泛的应用前景。
六、实验总结1. 本实验成功实现了信号的采集和处理,验证了可编程实验系统的功能。
2. 通过实验,掌握了可编程实验系统的基本操作和编程方法。
3. 提高了独立思考和解决问题的能力。
可编程逻辑器件实验报告
实验1:四位加减法器设计1。
实验任务:设计带借位、进位的4位二进制减法、加法器。
2。
实验要求:要考虑借位、进位。
在软件环境下,编写源文件并用器件实现。
3。
实验过程:(1)原理分析:多位减加法器的构成有两种方式:并行进位和串行进位方式。
并行进位加法器,串行进位是将全加器进行级联构成的,这种方式电路会比较简单。
本次实验中采用了自底向上(Bottom—Up)的分层次电路设计方法。
实际上,Verilog HDL 语言中的加减运算符为程序设计提供了很大的便利,此次实验又采用它实现了加减法器.(2)Verilog HDL源文件设计:[1]。
利用算术运算符的程序设计:module adderandsubtracter(s,k,cin,cout);input cin,k;parameter[3:0] aa = 4'b0100;parameter[3:0]bb = 4'b0101;output cout;output[3:0] s;reg cout,s;always@(k)beginif(k == 1){cout,s}〈= aa+bb+cin;else{cout,s}<= aa-bb—cin;endendmodule[2]。
自底向上(Bottom—Up)的分层次电路设计方法的Verilog HDL源文件。
module Adderandsubtracter1 bit (k,A,B,Cin ,Sum,Cout );input A,B,Cin,k;output Sum,Cout;parameter[3:0] A = 4'b0100;parameter[3:0] B = 4'b0101;assign Sum=(((A^k)^B)^Cin)^k;assign Cout=((A^k)&B)| ((A^k)&Cin) | (B&Cin);end modulemodule Adderorsubtracter4bit (k,First, Second, Carry_In, Sum_out, Carry_out);input[0:3] First,Second;input Carry_in;output[0:3] Sum_out;output Carry_out;wire [0:2]Car;always@(k)beginif(k == 0)Adderandsubtracter1 bitA1 (First[3],Second[3],Carry_in,Sum_out[3],Car[2]);A2 (First[2],Second[2], Car[2],Sum_out[3],Car[1]);A3 (First[3],Second[3],Car[1],Sum_out[3],Car[0]);A4 (First[3],Second[3],Car[0],Sum_out[3],Carry_out);elseAdderandsubtracter1 bitA1 (First[3],Second[3],Carry_in,Sum_out[3],Car[2]);A2 (First[2],Second[2],Car[2],Sum_out[3],Car[1]);A3 (First[3],Second[3],Car[1],Sum_out[3],Car[0]);A4 (First[3],Second[3], Car[0],Sum_out[3],Carry_out);endend module(3)编译源文件:在主界面Process窗口中双击Translate Design,对所编辑的代码进行综合,通过后会出现绿色对号。
可编程逻辑器件实验报告完整版
可编程逻辑器件实验报告完整版实验报告:可编程逻辑器件的应用与实验引言:可编程逻辑器件(Programmable Logic Device,PLD)是一种用于实现数字逻辑功能的集成电路。
它可以根据用户的需求进行可编程配置,从而实现不同的逻辑功能。
本次实验旨在通过对可编程逻辑器件的使用和应用,了解其原理和使用方法,培养我们的电路设计和实现能力。
一、实验目的:1.了解可编程逻辑器件的基本原理和工作方式;2. 掌握使用Xilinx ISE软件进行PLD设计和仿真的方法;3.进行简单的PLD设计与实现,验证其功能和正确性。
二、实验原理:可编程逻辑器件由可编程逻辑阵列(Programmable Logic Array,PLA)和可编程互连(Programmable Interconnect)组成。
它可以通过内部的可编程开关电路和存储器单元,将逻辑功能和互连关系进行可编程配置,从而实现不同的逻辑功能。
1. 可编程逻辑阵列(PLA):主要由可编程逻辑门阵列(Programmable Logic Gate Array,PLGA)和存储器单元(Memory Cell)组成。
PLGA由多个逻辑门和可编程开关电路组成,可以实现逻辑功能的实现和连接。
存储器单元用于存储逻辑功能实现的信息。
2. 可编程互连(Programmable Interconnect):可编程逻辑器件内部的互连部分由可编程开关电路组成,用于将PLGA中的逻辑功能进行连线,形成所需的电路。
3. 配置位流(Configuration Bitstream):配置位流是将设计好的逻辑功能以二进制的形式存储到可编程逻辑器件中,实现PLD的可编程配置。
三、实验步骤:1. 运行Xilinx ISE软件,创建一个新的工程;2.在工程中添加一个PLD器件,并选择相应的型号和参数;3.设计逻辑功能电路,将其转化为逻辑图;4. 使用Xilinx ISE软件进行逻辑综合和仿真,验证电路功能的正确性;6.通过信号发生器输入测试信号,并通过示波器观察输出结果,验证PLD的功能和正确性。
可编程逻辑器件设计及应用实验报告
可编程逻辑器件设计及应用实验报告本文是一份关于可编程逻辑器件设计及应用实验报告的文档,旨在介绍可编程逻辑器件(PLD)的原理、设计方法和应用实验。
一、PLD的原理和分类可编程逻辑器件(PLD)是一种数字电路器件,是一种能够配置自定义逻辑电路的器件。
它由可编程逻辑数组(PLA)和可编程输入和可编程输出的I/O的一个组合而成。
PLA是逻辑电路的基本部件。
PLA可以对使用的逻辑类型进行编程,以及透明地传输引脚。
PLD一般分为三大类:可编程数组逻辑器件(PAL),可编程逻辑阵列器件(PLA)和复杂可编程逻辑器件(CPLD)。
1.PALPAL是PLD的第一代产品。
PAL的原理是使用一组固定的OR门和一组可编程的AND门。
PAL需要使用一个和与逻辑表格显示器一起工作的编程器。
PAL由于自身设计的限制,在设计复杂的电路时存在很大的局限性。
2.PLAPLA是PLD的第二代产品。
PLA利用可编程的AND和OR 逻辑门对电路进行编程。
PLA的编程方式是将逻辑等式写在内部RAM中,这些逻辑等式是由双路维护电路输入的状态计算出来的。
PLA的通常的坏处是输入功率较高,在大多数电路设计中,PLA会消耗很大的功率。
3.CPLDCPLD是由PLA发展而来的,它是PLA的第三代产品。
CPLD 采用了浮动门架设计的晶体管,可以代替PLA中的PAL、GAL。
CPLD模块包括可编程集成电路和高速D触发器,它们可以优化PLA架构以执行信号编码、处理和控制任务。
二、PLD的设计方法1.设计流程PLD的设计方法主要分为以下几个部分:(1)电路分析:这是设计PLD电路的第一个步骤。
在这个步骤中,我们需要分析系统要完成的任务,并确定使用器件的类型。
(2)设计逻辑:在逻辑设计过程中,需要查看各种器件数据表以获取逻辑门的定制设置。
(3)编程:编程是根据设计逻辑对PLD进行编程的过程。
(4)仿真:仿真可以用于在实际硬件上测试电路的正确性和性能。
(5)验证:验证是确保电路可以在计划的时间内完成任务的过程。
基本指令训练实验报告
一、实验目的1. 熟悉PLC(可编程逻辑控制器)的基本指令及其应用。
2. 掌握PLC编程软件的使用方法,能够编写简单的PLC控制程序。
3. 通过实验加深对PLC控制原理的理解,提高实际操作能力。
二、实验环境1. PLC型号:三菱FX3U-32MR2. 编程软件:GX Works23. 实验装置:PLC实验箱、继电器模块、输入输出模块等三、实验内容1. 基本指令学习(1)位操作指令:AND(与)、OR(或)、NOT(非)、XOR(异或)(2)定时器指令:TON(定时器)、TOF(定时器)(3)计数器指令:C(计数器)(4)比较指令:EQ(等于)、GT(大于)、LT(小于)(5)数据传输指令:MOV(移动)、MVR(移动)2. 基本指令编程练习(1)编写一个简单的PLC控制程序,实现以下功能:- 当输入X0接通时,输出Y0点亮;- 当输入X1接通时,输出Y1点亮;- 当输入X0和X1同时接通时,输出Y0和Y1同时点亮。
(2)编写一个PLC控制程序,实现以下功能:- 当计数器C0的计数值为5时,输出Y0点亮;- 当计数器C0的计数值为10时,输出Y1点亮;- 当计数器C0的计数值大于10时,输出Y0和Y1同时点亮。
3. 实验步骤(1)连接PLC实验箱,将输入输出模块连接到PLC上。
(2)启动GX Works2编程软件,新建一个项目。
(3)在项目中选择PLC型号,添加相应的程序块。
(4)根据实验要求,编写PLC控制程序。
(5)下载程序到PLC,进行实验验证。
四、实验结果与分析1. 实验一结果- 编写的PLC控制程序能够实现输入X0和X1控制输出Y0和Y1的功能。
- 通过实验验证,程序运行正常,符合预期效果。
2. 实验二结果- 编写的PLC控制程序能够实现计数器C0计数到5、10、大于10时,输出Y0和Y1的功能。
- 通过实验验证,程序运行正常,符合预期效果。
五、实验总结1. 通过本次实验,熟悉了PLC的基本指令及其应用,掌握了PLC编程软件的使用方法。
可编程逻辑PLC课程实训报告
可编程逻辑PLC课程实训报告一、课程简介可编程逻辑控制器(PLC)是一种用于自动化控制系统的电子计算机。
PLC能够监控输入,并对输出进行控制,是许多现代工业和制造业中控制系统的基础。
可编程逻辑PLC课程是一门重要的自动化工程课程,它的主要目的是为工程师和技术专家提供PLC技术的培训和实践,使他们能够在工业生产中运用PLC技术进行自动化控制。
二、课程目标PLC实训课程的主要目标是通过实践,让学生了解PLC技术的原理和应用,并掌握PLC编程技能,以便将其应用于自动化控制系统中。
具体来说,课程的学习重点包括以下几个方面:1.理解PLC的原理和基础概念;2.学会使用PLC软件进行编程;3.掌握PLC的硬件配置和连接;4.熟练掌握I/O调试和编程调试技术;5.能够设计、实现和维护PLC自动控制系统;三、实训内容实训内容包括PLC的基础知识、编程实践和综合实战等多个阶段。
学生需要首先学习PLC的基础知识,包括PLC的原理、组成、工作原理等。
并了解PLC软件的基本操作方法和编程语言,熟练掌握在软件平台上建立和编写程序的方法和技巧。
在编程技能掌握的基础上,需要进行实践操作。
学生需要学会进行硬件配置和连接,建立PLC自动化控制系统,调试输入输出接口和编程代码,实现简单的自动化控制。
然后,需要进一步提高编程调试能力,学习改进PLC程序的技能,处理复杂场景下的PLC应用。
最后,学生需要将所学的PLC技术应用于实际工程中。
综合实战课程重点在于,让学生在真实工厂环境中,跟随导师完成实际的PLC自动化控制,主要包括工艺流程优化、调试现有设备、设计新的系统架构等等。
在实践中,学生能够系统性的了解PLC技术的应用场景,强化理论与实践的结合。
四、实训效果通过PLC实训课程的学习和实践,学生能够掌握PLC技术的基础理论和实际应用技能。
具体来说,他们可以:1.了解PLC技术的基本原理和应用场景;2.掌握PLC软件和硬件的使用方法,并熟练编写控制程序;3.具备自主搭建并调试PLC自动化控制系统的能力,并能够进行维护和升级;4.掌握在PLC自动化控制领域实际项目中解决问题和改进的技能;5.提高了团队协作和解决问题的实际能力。
可编程逻辑实验报告
学校名称可编程逻辑实验报告实验名称实验一用原理图输入法设计门电路一:实验目的1.掌握PLD芯片的基本使用方法,熟悉EDA软件MAX+PLUSⅡ操作。
2.学会利用软件方针和硬件实现对数字电路的逻辑功能进行验证和分析。
3.能够利用CPLD器件开发具有基本与非逻辑功能的数字电路。
二:实验所用仪表及主要器材PC,EDA软件MAX+PLUSⅡ。
三:实验原理简述(源程序、真值表、原理图)(1)程序:Library ieee;Use ieee.std_logic_1164.all;Entity qwer isPort(a,b:in std_logic;y:out logic);End;Architecture rel_1 of qwer isBeginY<=a nand b ;End;(2)真值表:四:实验测量记录五:实验心得(实验中问题的解决方法等)这次试验最主要的目的是熟悉MAX-PLUS II的使用与操作原理并不是很困难,加上稍微对程序有一定的理解再照着书上的操作步骤一步一步来就可以了,老师的讲解也是十分的详细认真听讲了这个试验不算难的,很快就可以做出来.没有什么特别的问题。
实验名称二、用文本输入法设计门电路一:实验目的1.通过各种常见门电路的现实,进一步熟悉CPLD开发的全过程。
2.认识各种各种常见门电路,并掌握它们的逻辑功能。
3.能够通过CPLD的开发实现具有门电路功能的数字电路。
二:实验所用仪表及主要器材计算机,MAX+PLUSII软件三:实验原理简述(原程序、真值表、原理图)常见逻辑门的认知a.与门源程序:Library ieee;Use ieee.std_logic_1164.all;Entity e2_1_and isPort(a,b:in std_logic;y:out std_logic);End;Architecture rel_1 of e2_1_and isBeginY<=a and b ;End;逻辑表达式:y=a&bb.或门源程序:Library ieee;Use ieee.std_logic_1164.all;Entity e2_2_or isy:out std_logic);End;Architecture rel_1 of e2_2_or is BeginY<=a or b ;End;逻辑表达式:y=a+b真值表:c.与非门源程序:Library ieee;Use ieee.std_logic_1164.all; Entity e2_3_nand isPort(a,b:in std_logic;y:out std_logic);End;Architecture rel_1 of e2_3_nand is BeginY<=a nand b;End;逻辑表达式:y=/(a&b)真值表:d.或非门源程序:Library ieee;Use ieee.std_logic_1164.all; Entity e2_4_nor isPort(a,b:in std_logic;End;Architecture rel_1 of e2_4_nor is BeginY<=a nor b ;End;逻辑表达式:y=/(a+b)真值表:e.异或门源程序:Library ieee;Use ieee.std_logic_1164.all; Entity e2_5_xor isPort(a,b:in std_logic;y:out std_logic);End;Architecture rel_1 of e2_5_xor is BeginY<=a xor b ;End;逻辑表达式:y= a xor b真值表:f.同或门源程序:Library ieee;Use ieee.std_logic_1164.all; Entity e2_6_xnor isPort(a,b:in std_logic;End;Architecture rel_1 of e2_6_xnor isBeginY<=a xnor b ;End;逻辑表达式:y=a nxor b真值表:四:实验测量记录(数据、仿真波形图及分析、原程序分析、硬件测试实分析)a.(与门)波形图b.(或门)波形图c.(与非门)波形图d.(或非门)波形图e.(异或门)波形图f.(同或门)波形图五:实验心得通过本次实验,我进一步熟悉了Max + PLUS II 软件的使用,并学会了一些基本的VHDL 语法知识,并利用VHDL对基本门电路进行描述和仿真。
可编程逻辑PLC课程实训报告
《可编程逻辑设计PLC》课程实训报告(2017-2018学年第二学期)实训项目:系(部):专业班级:学生姓名:学号:实验时间:实验地点:指导老师:机械手搬运模拟设计一、实训目的与要求1.会使用状态原件和步进指令使用2.会分析工业气动机械手控系统要求、绘制状态转移图3.掌握顺序控制功能图转换成顺序控制梯形图和指令二、实训器材1.可编程控制器一台。
2.按钮5个。
3.实训控制台1个。
4.计算机1台(装有编程软件且配有通信线缆)。
5.电工常用工具一套。
6.连接导线若干。
三、实训任务按启动后,工作传输机构运行直到喷到下限行程开关才停止,同时机械手下降。
下降到位后机械手夹紧物体,2S后开始上升,二机械手保持夹紧。
上升到位右移,右移到位下降,下降到位后机械手松开,2s后机械手上升。
上升到位后左移,左移到位循环下一个周期。
从工作传输机构工作示意图中可知,上升下降左行右行等分别使用了双螺线管的电磁阀(在某方向的驱动线圈失电时能够保持在原位置上,只有驱动反方向的线圈才能够反方向运动),夹钳使螺旋线管电磁阀(只在有电时夹紧)。
工作传输机构把工作从A点移到B点再回到原位的过程可以分解为八个步骤(设原始位置在左上方),每个步的动作和转换条件标在对应的位置上。
工作传输机构开始工作前必须满足的条件:1启动开关(X5);2工作传输机构需停在左上方。
因此满足左方行程开关对应的输入点为X4=1,上方行程开关对应的输入点X2=1,同时保持夹钳对应的螺线管电磁阀Y1=0。
四、实训内容1.I/O分配表2.P LC硬件控制电路图(主电路电气供电电路图)P L CS/S24V 0VX1X2X3X4X5X6Y0Y1Y2Y3Y4C0C1DC24VSB1SB2Sb3SB4SB5SB63. P LC 梯形图(SFC 步进梯形图),程序要有注释)五、思考题1.如何实现机械手启动时,自动复位?在步S0到步S20的判断条件中加上X2,X4为ON 和Y1为OFF 的条件,同时在步S0中加上自动复位过程(如下图),这样机械手启动时,若机械手未在原点,其将自动复位。
西安邮电大学可编程逻辑实验报告
可编程逻辑实验院系名称 :电子工程学院学生姓名 : 专业名称 : 电子科学与技术班 级 :学号 :实验名称:门电路的设计实验一:用原理图输入法设计门电路实验目的:1.掌握PLD芯片的基本使用方法,熟悉EDA软件MAX+plus的操作。
1.学会利用软件仿真和实现用硬件对数字电路的逻辑功能进行验证和分析。
器材:PC实验内容:实现1、F=/AB 2、F=AB+CD实验结果:1.F=/AB原理图:仿真结果:2.F=AB+CD原理图:仿真结果:实验二:用原理图输入法设计门电路实验目的:1.进一步掌握PLD芯片的基本使用方法,熟悉EDA软件MAX+plus的操作。
2.学会利用软件仿真和实现用硬件对数字电路的逻辑功能进行验证和分析。
3.学习初步的VHDL程序设计方法。
器材:PC实验内容:实现3、F=A⊕B4、F=/abc+/d实验结果:3、F=A⊕B源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity xor2 isport(a,b:in std_logic;F:out std_logic);end;architecture main of xor2 isbeginF<=a xor b;end;仿真结果:4、F=/abc+/d源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity zhonghe isport(a,b,c,d:in std_logic;F:out std_logic);end;architecture main of zhonghe issignal g,h,y,m,n:std_logic;beginm<=not a;g<=m and b;h<=g and c;n<=not d;y<=h or n;F<=y;end;仿真结果:实验小结:本实验为第一次DEA实验,不免有些兴奋和好奇,加之老师讲的比较好,所以基本上没有遇到什么问题。
可编程逻辑实验
一:实验目的1、通过一个简单的反相器的实现,初步了解CPLD开发的全过程;2、学会利用软件仿真和硬件实现对数字电路的逻辑功能进行验证和分析;3、能够通过CPLD开发实现具有反相器功能的数字电路。
二:实验内容用图形编辑器实现反相器的CPLD开发三:实验记录CPLD开发的过程1、在磁盘中新建一个实验所需文件夹,用英文字母命名;2、启动MAX+plusΠ软件;3、在所建文件夹目录下创建一个新的工程,并确定新工程的名称;4、使用原理图编辑器,Graphic Editor file, .gdf格式;5、编辑原理图;6、保存、编译原理图;7、启动波形图编译器,Waveform Editor file, .scf格式;8、设置、编辑波形图;9、保存、仿真原理图;10、指定、设置CPLD芯片;11、下载实现;12、模型生成。
四:实验心得此次试验是第一次上机利用MAXPLUS进行操作,通过实验,我了解了该软件的简单操作,初步掌握了CPLD开发的全过程。
学会了利用软件仿真及硬件实现对数字电路逻辑功能的分析和验证。
在进行实验操作时,应当注意管脚分配时,CPLD实验板上的开关和发光二极管等输入/输出变量同CPLD芯片管脚的对应关系,保证其一一对应。
一:实验目的1、通过各种常见门电路的实现,进一步熟悉CPLD开发的全过程;2、认识各种常见的门电路,并掌握它们的逻辑功能;3、能够通过CPLD的开发实现具有门电路的数字电路。
二:实验内容1、常见逻辑门的认识基本逻辑门电路:与门,或门,非门。
复合逻辑门电路:与非门,或非门,异或门,同或门。
2、完成以上逻辑门的VHDL描述。
三:实验记录1、与门(1)逻辑表达式:F1=a&b(2)真值表输入输出a b F10 0 00 1 01 0 01 1 1 (3)源程序Library ieee;Use ieee.std_logic_1164.all;Entity yumen isPort(a,b:in std_logic;y:out std_logic);End;Architecture rel_1 of yumen isBeginY <=a and b;End;2、或门(1)逻辑表达式:F2=a+b(2)真值表输入输出a b F20 0 00 1 11 0 11 1 1 (3)源程序Library ieee;Use ieee.std_logic_1164.all;Entity huomen isPort(a,b:in std_logic;y:out std_logic);Architecture rel_1 of huomen isBeginY<=a or b;End;3、与非门(1)逻辑表达式:F3=/(a&b)(2)真值表输入输出a b F30 0 10 1 11 0 11 1 0 (3)源程序Library ieee;Use ieee.std_logic_1164.all;Entity yufeimen isPort(a,b:in std_logic;y:out std_logic);End;Architecture rel_1 of yufeimen isBeginY <=a nand b;End;4、或非门(1)逻辑表达式:F4=/(a+b)(2)真值表输入输出a b F40 0 10 1 01 0 01 1 0 (3)源程序Library ieee;Use ieee.std_logic_1164.all;Entity huofeimen isPort(a,b:in std_logic;y:out std_logic);end;Architecture rel_1 of huofeimen isBeginY<=a nor b;End;5、异或门(1)逻辑表达式:F5=a⊕b(2)真值表输入输出a b F50 0 00 1 11 0 11 1 0 (3)源程序Library ieee;Use ieee.std_logic_1164.all;Entity yihuomen isPort(a,b:in std_logic;y:out std_logic);end;Architecture rel_1 of yihuomen isBeginY<=a xor b;end;6、同或门(1)逻辑表达式:F6=a⊙b=/F5(2)真值表输入输出 a b F60 0 10 1 01 0 01 1 1(3)源程序Library ieee;Use ieee.std_logic_1164.all;Entity tonghuomen isPort(a,b:in std_logic;y:out std_logic);end;Architecture rel_1 of tonghuomen isBeginY<=a xnor b;end;四:实验心得实验二的主要内容是用文本输入法设计门电路。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
西安邮电学院可编程逻辑实验报告
实验名称 用原理图输入法设计门电路
一、实验目的
1、 通过一个简单的反相器的现实,初步了解CPLD 开发的全过程。
2、 学会利用软件仿真和硬件实现对数字电路的逻辑功能进行验证和分
析。
3、能够通过CPLD 开发实现具有反相器功能的数字电路。
二:实验所用仪表及主要器材
电脑一台,可编程逻辑实验电路板,下载线,USB 电源线,双踪示波器,数字万用表,导线若干。
三:实验原理简述(原程序、真值表、原理图)
可编程逻辑实验是借助可编程器件(PLD ),采用在系统可编程技术(ISP ),利用电子设计自动化软件(EAD ),在计算机(PC )平台上进行的。
在MAX+plusII 环境下,可编程数字电路的设计流程如图
四:实验测量记录(数据、仿真波形图及分析、原程序分析、硬件测试实分析 (1)非门:Y= X ——
系 别 电工院
学 号 成 绩 实验日期
班 级 电子0807 姓名 教师签字
X为输入信号,经过一个非门由Y输出。
从仿真结果可看出Y等于X的非。
根据仿真结果,填写真值表,并求出逻辑函数表达式Y= X——。
X Y
0 1
1 0
(2)F=AB+CD
其中,A、B、C、D为4个输入信号,A、B与C、D分别经过一个与门后经或门输出即为F
其仿真后波形如下:
由波形分析可得真值表如下:
因此有:F=AB+CD;
五:实验心得(实验中问题的解决方法等)
通过此次实验,我了解了MAX+plusII软件的基本用法。
学会了如何通过MAX+plusII 对我所设计的电路进行仿真,以及观察其仿真的波形。
本次实验电路较为简单,但为以后的实验做好了铺垫,为以后实验的顺利进行打下了基础。
实验名称 用文本输入法设计门电路
一:实验目的
1、 通过各种常见门电路的实现,进一步熟悉CPLD 开发的全过程。
2、 认识各种常见的门电路,并掌握它们的逻辑功能。
3、能够通过CPLD 的开发实现具有门电路的数字电路。
二:实验所用仪表及主要器材
计算机一台,可编程逻辑实验电路板,下载线,USB 电源线,双踪示波器,数字万用表,导线若干
三:实验原理简述(原程序、真值表、原理图) 源程序代码如下:
library ieee;
use ieee.std_logic_1164.all; entity sy2 is
port(a,b,c,d:in std_logic;
A1,A2,A3,A4,A5:out std_logic); end;
architecture sy_2 of sy2 is begin
A1<=a and b; A2<=c nand d; A3<=a nor b; A4<=a xor b;
A5<=(a xor b) nand (c xor d); end;
系 别 电工院
学 号 成 绩 实验日期
班 级 电子0807 姓名 教师签字
A4真值表如下:
四:实验测量记录(数据、仿真波形图及分析、原程序分析、硬件测试实分析)仿真波形如下图所示:
对波形图进行分析可得,y1至y6与真值表相符。
如图中a=0,b=1。
得:A1=ab,A2=c——d——,A3= a⊙b,A4= F5= a b,A5=not(a⊙b )(c⊙d)
选取CPLD器件,分配目标的输入/输出管脚。
启动下载程序,将下载电路下载到CPLD器件中去。
分析CPLD结果,与预期结果相同。
五:实验心得(实验中问题的解决方法等)
通过本次实验,学会了通过VHDL语言来描写逻辑门并实现常用逻辑门的功能。
本次实验的问题是,在实验过程中对VHDL语言还不太了解,有些语法不知如何去用,经过老师的指导,还是顺利的完成了实验。
实验过后对VHDL语言有了更进一步的了解,对CPLD开发的过程也有了更进一步的掌握。
在仿真正确的情况下选取CPLD器件,分配目标的输入/输出
管脚,启动下载程序,观察实验结果。
这样可以更有效地利用CPLD开发组合逻辑电路。