电路课程设计2017:数字日历(new) (1)
电子日历表课程设计
![电子日历表课程设计](https://img.taocdn.com/s3/m/d45b0503302b3169a45177232f60ddccda38e6b8.png)
电子日历表课程设计一、课程目标知识目标:1. 学生能理解电子日历表的基本概念,掌握其功能和操作方法。
2. 学生能运用电子日历表进行时间管理,合理安排个人学习和生活。
3. 学生了解电子日历表在设计原理和实用技巧方面的知识。
技能目标:1. 学生能熟练操作电子日历表,设置提醒、日程安排等功能。
2. 学生能运用电子日历表进行时间分析,提高时间利用效率。
3. 学生具备解决电子日历表使用过程中遇到问题的能力。
情感态度价值观目标:1. 学生养成珍惜时间、合理安排生活的良好习惯。
2. 学生培养团队协作意识,学会分享和交流使用电子日历表的技巧。
3. 学生认识到科技发展对生活学习的积极影响,增强对现代信息技术的兴趣。
课程性质:本课程为信息技术课程,旨在帮助学生掌握电子日历表的使用技巧,提高时间管理能力。
学生特点:五年级学生具有一定的信息素养,对电子产品有浓厚兴趣,但时间管理意识较弱。
教学要求:教师需结合学生实际情况,采用任务驱动法、小组合作法等教学策略,激发学生学习兴趣,提高教学效果。
通过本课程的学习,使学生能够将所学知识应用于实际生活,实现学习成果的转化。
1. 电子日历表基础知识:介绍电子日历表的定义、发展历程、种类及其在生活中的应用。
教材章节:第一章 电子日历表概述2. 电子日历表的功能与操作:详细讲解电子日历表的提醒、日程安排、时间分析等功能,并指导学生进行实际操作。
教材章节:第二章 电子日历表的功能与操作3. 时间管理技巧:教授学生如何利用电子日历表进行时间管理,提高学习和生活效率。
教材章节:第三章 时间管理技巧4. 电子日历表实用技巧:介绍电子日历表的高级功能,如事件分类、重复事件设置、共享日历等。
教材章节:第四章 电子日历表的实用技巧5. 电子日历表问题与解决:分析使用过程中可能遇到的问题,如日程冲突、提醒无效等,并提供解决方案。
教材章节:第五章 电子日历表问题与解决教学进度安排:第一课时:电子日历表基础知识,了解电子日历表的发展历程和种类。
数字逻辑课程设计电子日历
![数字逻辑课程设计电子日历](https://img.taocdn.com/s3/m/daf875f7dc3383c4bb4cf7ec4afe04a1b071b0a5.png)
数字逻辑课程设计电子日历一、课程目标知识目标:1. 理解数字逻辑电路的基本原理,掌握电子日历的核心组成部分及其功能。
2. 学会运用逻辑门、触发器等数字电路元件进行电子日历的设计与实现。
3. 掌握数字电路的时序分析,理解电子日历中时间计数、显示等功能的实现方法。
技能目标:1. 能够运用所学知识,设计并搭建简单的电子日历电路,实现日期、时间的显示功能。
2. 培养学生的动手实践能力,学会使用相关仪器、设备进行电路调试与优化。
3. 提高学生的问题分析能力,使其能够针对电子日历的设计过程中遇到的问题,提出合理的解决方案。
情感态度价值观目标:1. 培养学生对数字逻辑电路的兴趣,激发其学习热情和探究精神。
2. 培养学生的团队合作意识,使其在课程实践过程中学会互相交流、协作。
3. 引导学生关注科技发展,认识到数字逻辑电路在日常生活和科技领域中的重要作用,培养学生的社会责任感和使命感。
课程性质:本课程为数字逻辑课程设计实践环节,以理论为基础,注重培养学生的实践能力和创新能力。
学生特点:学生已具备一定的数字逻辑基础,具有较强的学习兴趣和动手实践能力,但部分学生对电路设计、调试过程可能存在一定程度的困难。
教学要求:结合学生特点,采用理论讲解与实践操作相结合的教学方式,引导学生主动参与,注重个别辅导,确保课程目标的实现。
在教学过程中,将目标分解为具体的学习成果,以便进行后续的教学设计和评估。
二、教学内容1. 数字逻辑基础回顾:逻辑门、触发器、计数器等基本元件的功能与原理,为电子日历设计打下基础。
2. 电子日历的组成与原理:讲解电子日历的各个组成部分,如时钟电路、分频器、计数器、显示电路等,阐述其工作原理及相互关系。
3. 电路设计与仿真:指导学生使用相关软件(如Multisim、Proteus等)进行电子日历电路设计,并进行仿真实验,分析电路性能。
4. 电路搭建与调试:学生根据设计方案,搭建实际电路,进行调试与优化,确保电子日历的功能实现。
数电课程设计数字日历电路
![数电课程设计数字日历电路](https://img.taocdn.com/s3/m/32aebc636294dd88d1d26b00.png)
题目:数字日历电路班级:姓名:数字日历电路一、设计任务及要求:1、用5个数码管分别显示月、日、星期;2、月、日的计数器显示均从1开始,每月按30天算;3、对星期的计数显示从1到6再到日(日用8代替)。
二、方案设计与论证:日历是一种日常使用的出版物,用于记载日期等相关信息。
每页显示一日信息的叫日历,每页显示一个月信息的叫月历,每页显示全年信息的叫年历。
有多种形式,如挂历、座台历、年历卡等,如今又有电子日历。
逢年过节,往往会送亲友日历已显亲情友情可日历在现代社会中是很重要的。
而纸制日历对森林保护不利,因此设计电子日历意义重大。
在设计日历倒计时器时,采用了模块化的思想,将日历分为三个部分:日期、月份及年份,使得设计简单、易懂。
本设计能进行月、日、星期的的计数,在社会生活中具有实际的应用价值。
下面就是我们组设计电子日历的主要思路:本数字日历电路计数显示电路和控制电路组成,计数显示电路主要由同步十进制计数器74LS160构成日期、月份和星期计数器,然后通过译码器数码管显示出来控制调节电路则用了组合控制逻辑电路去控制日期计数器及月计数器的置数端和使能端,从而实现日期和月份的调节功能。
星期显示在脉冲作用下,从星期一到星期日循环计数,从而形成星期随着日期循环显示。
综上,该方案是具体可行的。
三、设计原理及框图:本数字日历电路主要由五个加计数器160、五个48译码器、显示器、控制开关构成。
它们的工作原理是:用两片十进制计数器74LS160同步预置数(高位置入0000,低位置入0001)构成日期计数器,使其每次从一开始计数,从日期计数器的输出三十这个信号使其同时给月计数器的CP端信号使其计数,最后给日计数器的低位以信号源使其计数,同时在脉冲的作用下,使星期循环计数,随着日期的变化而变化。
74LS48译码器将信号传给显示器显示数据。
四、各单元电路设计与分析:1、日期计数显示电路:日期计数器采用两片十进制计数器74LS160同步预置数构成,控制置数端使其每次从1开始计数(对应每月第一天)。
数字日历电路的设计-EDA实训
![数字日历电路的设计-EDA实训](https://img.taocdn.com/s3/m/3b76c693f242336c1fb95e3b.png)
成绩批阅教师日期桂林电子科技大学实训报告2016-2017学年第1学期学院海洋信息工程学院课程 EDA综合实训姓名钟朝林学号 1416030218 指导老师覃琴日期 2016/12/29实训题目:数字日历电路的设计1 概述1.1 设计要求1.1.1 设计任务设计并制作一台数字日历。
1.1.2 性能指标要求①用EDA实训仪的I/O设备和PLD芯片实现数字日历的设计。
②数字日历能够显示年、月、日、时、分和秒。
③用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段内显示年、月、日(如20080101),然后在另一时间段内显示时、分、秒(如00123625),两个时间段能自动倒换。
④数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时,依此类推。
1.2 总体设计基本原理及框图1.2.1 基本原理日历主要由年月日模块、时分秒模块、控制模块、显示模块、校时模块组成。
采用3个公用按钮j1、j2、和j3完成时分秒或年月日的校时,用8只七段数码管分时完成时分秒或年月日的显示。
设计电路的计时器模块(jsq24)用于完成一天的24小时计时;年月日模块接收计时器模块送来的“天”脉冲进行计数,得到日月年的显示结果,控制模块产生控制信号k,控制数码显示器显示年月日,还是时分秒,或者自动轮流显示;校时选择模块在k信号的控制下,选择将j1、j2和j3这3个校时按钮产生的信号是送到计时器模块的校秒、校分和校时输入端,还是送到年月日模块的校天、校月、校年输入端;显示选择模块在k信号的控制下,选择是将计时器模块的时、分、秒状态信号,还是将年月日模块的年、月、日状态信号送到数码管显示器显示。
1.2.2 总体框图2 系统软件设计分析2.1年月日模块module r(clrn,clk,jn,jy,jr,qn,qy,qr); //年月日模块input clrn,clk,jn,jy,jr;output reg[15:0] qn;reg [15:0] qn1;output reg[7:0] qy,qr;reg clkn,clky;reg[7:0] date;reg clkn1,clkn2,clkn3;initial begin clkn1=1;clkn2=1;clkn3=1;endinitial begin qn='h2011;qn1=2011;qy=1;qr=1;endalways @(posedge (clk^jr) or negedge clrn)// 日计时模块beginif(~clrn)qr=1;else beginif(qr==date)begin qr=1; clky=1;endelse begin qr=qr+1;clky=0;endif(qr[3:0]=='ha)beginqr[3:0]=0;qr[7:4]=qr[7:4]+1;endendendalways @(posedge (clky^jy) or negedge clrn)//月计时模块beginif(~clrn) qy=1;else beginif(qy=='h12) begin qy=1;clkn=1;endelse begin qy=qy+1;clkn=0;endif(qy[3:0]=='ha)beginqy[3:0]=0;qy[7:4]=qy[7:4]+1;endendendalwayscase(qy)'h01:date='h31;'h02:beginif((qn1%4==0)&(qn1%100 != 0)|(qn1%400==0))date='h29;else date='h28;end'h03:date='h31;'h04:date='h30;'h05:date='h31;'h06:date='h30;'h07:date='h31;'h08:date='h31;'h09:date='h30;'h10:date='h31;'h11:date='h30;'h12:date='h31;default: date='h30;endcaseendalways @( posedge (clkn^jn) or negedge clrn) //年计时模块 beginif(~clrn)begin qn[3:0]=1;qn1=2011;endelse begin if(qn[3:0]==9)qn[3:0]=0;else begin qn[3:0]=qn[3:0]+1;qn1=qn1+1;endif(qn[3:0]==9)clkn1=0;else clkn1=1;endendalways @(posedge clkn1 or negedge clrn)beginif(~clrn)qn[7:4]=1;else begin if(qn[7:4]==9) qn[7:4]=0;else qn[7:4]=qn[7:4]+1;if(qn[7:4]==9) clkn2=0;else clkn2=1;endendalways @(posedge clkn2 or negedge clrn)beginif(~clrn)qn[11:8]=0;else begin if(qn[11:8]==9) qn[11:8]=0;else qn[11:8]=qn[7:4]+1;if(qn[11:8]==9) clkn3=0;else clkn3=1;endendalways @(posedge clkn3 or negedge clrn)if(~clrn)qn[15:12]=2;else if(qn[15:12]==9) qn[15:12]=0;else qn[15:12]=qn[15:12]+1;endendmodule2.2时分秒模块module cnt60(clk,clrn,j,q,cout);//分和秒计时input clk,clrn,j;output reg[7:0] q;output reg cout;always @(posedge clk^j or negedge clrn )beginif (~clrn) q=0;else beginif (q=='h59) begin q=0;cout=1;endelse begin q=q+1;cout=0;endif (q[3:0]=='ha) beginq[3:0]=0;q[7:4]=q[7:4]+1;endendendendmodulemodule cnt24(clk,clrn,j,q,cout);//小时计时input clk,clrn,j;output reg[7:0] q;output reg cout;always @(posedge clk^j or negedge clrn )beginif (~clrn) q=0;else beginif (q=='h23) begin q=0;cout=1;endelse begin q=q+1;cout=0;endif (q[3:0]=='ha) beginq[3:0]=0;q[7:4]=q[7:4]+1;endendendendmodule2.3控制模块module contr(clk,k1,k2,k);input clk,k1,k2;output reg k;reg [3:0] qc;reg rc;always @(posedge clk)begin qc=qc+1;if (qc<8) rc=0;else rc=1;case ({k1,k2})0:k=rc; //八秒显示年月日八秒显示时分秒的自由转换 1:k=0;//显示并且校准时分秒2:k=1; //显示并且校准年月日3:k=rc;endcaseendendmodule2.4显示模块module mux_16(k,qm,qf,qs,qr,qy,qn,q);input k;input [7:0]qm,qf,qs,qr,qy;input [15:0] qn;output reg [31:0]q;alwaysbeginif (k==0) beginq[31:24]=0;q[23:0]={qs,qf,qm};endelse q={qn,qy,qr};endendmodule2.5校时模块module mux_4(k,jm,jf,js,jr,jy,jn,j1,j2,j3); input k,j1,j2,j3;output reg jm,jf,js,jr,jy,jn;alwaysbeginif (k==0) {jm,jf,js}={j1,j2,j3};else {jr,jy,jn}={j1,j2,j3};endendmodule2.6闹钟模块module naozhong(qs,qf,led,zt);input zt;input[7:0] qs,qf;output led;reg led;alwaysbeginif (qs=='h06&&qf=='h01) //6:01闹钟开始闪烁led=1;elseled=0;if(zt==1) led=0; // 在任意时刻都可以关掉闹钟endendmodule2.7分频器模块module FENP(clk,newclk);input clk;output reg newclk;reg[24:0] cnter;always @(posedge clk)beginif(cnter<20000000) cnter=cnter+1;else cnter=0;if (cnter<10000000) newclk=1;elsenewclk=0;endendmodule3 系统测试(调试)3.1 测试仪器与设备计算机,EDA实训仪。
课程设计___数字万年历(显示温度星期)
![课程设计___数字万年历(显示温度星期)](https://img.taocdn.com/s3/m/cd134d63168884868762d620.png)
信息与电子工程学院课程设计报告目录一、课程设计概述 (3)1、课程设计背景 (3)2、课程设计内容 (3)二、方案的选择及确定 (3)2.1设计思路 (3)2.2设计方案 (3)2.3 方案比较论证 (3)三、系统硬件设计 (4)3.1总体硬件设计 (4)3.2 单片机主控制模块的设计 (4)3.3 时钟电路模块的设计 (5)3.4 温度采集模块设计 (6)3.5 功能按钮设计 (6)3.6 16*2 LCD1602液晶显示电路设计 (7)3.7总体电路图 (7)四、系统软件设计 (8)4.1 系统模块的功能分划分 (8)4.2 总体程序流程框图 (9)4.3 时钟调整时间的流程图 (9)4.4 修改键“UP”的功能流程图 (10)4.5温度转换流程图 (11)五、系统调试过程 (13)六、遇到的问题及解决方法/总结 (13)七、参考文献 (13)八、附录 (13)1、仪器与设备 (13)2、元器件清单 (14)3、原理图 (14)4、PCB图 (15)5、实物图 (15)6、操作说明书 (16)一、课程设计概述1、课程设计背景随着计算机技术的和控制系统的广泛应用,以及设备向小型化、智能化发展,单片机作为高新技术之一,以其体积小、功能强、价格低、使用灵活等优势,显示出了很强的生命力。
进入21世纪以来,开发推出单片机的公司很多,各种高性能单片机芯片市场异常活跃,新技术的不断采用,更加使单片机的种类、性能以及应用领域不断扩大和提高。
因其功耗低、超高型、低成本、功能完整,在国内越来越受到用户的重视和广泛使用。
2、课程设计内容要求设计的单片机作息时间控制钟完成以下功能:①实时显示当前温度;②实时显示当前时间;③实时显示当前日期;④能修改当前时钟二、方案的选择及确定2.1设计思路用STC89C52RC处理产生内部时钟数据或者读取外部时钟数据和采集外部传感器的信息进行处理,并暂时寄存在其内部的储存器中,再通过单片机调用内部RAM 的数据并送到LCD或者LED数码管上显示出来。
数字日历
![数字日历](https://img.taocdn.com/s3/m/e3140c2f580216fc700afdae.png)
本课题要求对星期的计数显示从1到6再到日,日用8代替。可以用74LS160设计成7进制,将初值设为0001,用0111反馈置数,且应把计数值0001、0010、0011、0100、0101、0110、0111重新编码为相对应的0001、0010、0011、0100、0101、0110、1000。这样就能实现显示器按1,2,3,4,5,6,8,1,2的循环次序来显示。本设计采用两个3线8线译码器。如图所示:
[5]梁宗善.《电子技术基础课程设计》.华中科技大学出版社.2009.5.1
关于格式说明
录:请用自动生成目录。
2.正文:宋体小四,1.5倍行距,段前、段后无空行(即空0行);全文中的数字和英文用Times New Roman字体。
3.图要求:
(1)每个图均应有图题(由图号和图名组成),图号按图在文中出现的先后顺序编排,如:“图1”;图名在图号之后空一格排写。
(2)图居中;图题在图下方居中;
(3)图题及图中文字用5号宋体。
4.表格要求:
(1)每个表应有表序和表名;表序按表在文中出现的先后顺序编排,如,“表1”。表序与表名之间应空一格。表名中不允许使用标点符号,表名后不加标点。表序与表名置于表上,居中。
(2)表格居中,表格不加左、右边线。
举例:
表2多路输出电压测试表
3.2日期设计模块
采用并行级联方式连接两片74160作为日计数器。两片74160的CLK为同一个脉冲信号,此处采用手动脉冲,高位74160的ENP由低位74160的进位端控制,此为它的进位功能。高位的输入端DCBA为0000,低位的DCBA为0001,低位的输入端D0接高电平,当置数数将从01开始计数。其置数端与74153的输出端Y相接。通过选择信号选择28天、30天、31天。当74LS153的BA为00时为31天的信号,01则是30天的信号,11为28天的信号。通过74LS153输出对应大小月份的天数。
数电电子日历课程设计
![数电电子日历课程设计](https://img.taocdn.com/s3/m/6d0bf11abf1e650e52ea551810a6f524ccbfcb35.png)
数电电子日历课程设计一、课程目标知识目标:1. 学生能理解数字电子日历的基本原理,掌握其电路组成及工作原理。
2. 学生能运用所学知识,设计并搭建一个简单的数字电子日历。
3. 学生了解数字电子日历在实际应用中的优点和局限性。
技能目标:1. 学生能够运用所学数字电路知识,分析和解决数字电子日历中存在的问题。
2. 学生具备查阅相关资料、编写程序和调试电路的能力,完成数字电子日历的设计。
3. 学生能够通过小组合作,提高沟通协调能力和团队协作精神。
情感态度价值观目标:1. 学生培养对电子技术的兴趣和热情,提高学习积极性。
2. 学生在课程学习中,培养动手实践和解决问题的能力,增强自信心。
3. 学生通过学习数字电子日历,认识到科技对生活的改变,激发创新意识。
课程性质:本课程为实践性较强的课程,结合理论知识,让学生动手实践,培养实际操作能力。
学生特点:学生已具备一定的数字电路知识基础,具有较强的求知欲和动手能力。
教学要求:教师应注重理论与实践相结合,引导学生通过小组合作,自主探究,完成课程目标。
同时,关注学生的个体差异,给予个性化指导。
在教学过程中,注重培养学生的创新意识和团队协作精神。
通过课程学习,使学生能够将所学知识应用于实际生活中。
二、教学内容1. 数字电路基础知识回顾:逻辑门电路、组合逻辑电路、时序逻辑电路原理及功能。
2. 数字电子日历原理:介绍数字电子日历的电路组成、工作原理及其在生活中的应用。
3. 教学大纲:a. 数字电子日历电路设计:讲解如何设计数字电子日历电路,包括时钟电路、显示电路、控制电路等。
b. 程序编写与调试:教授如何编写控制程序,实现日期、时间显示及调整功能,并指导学生进行程序调试。
c. 电路搭建与测试:指导学生搭建数字电子日历电路,进行功能测试,分析并解决可能出现的问题。
4. 教材章节:- 第六章:数字电路基础知识- 第七章:时序逻辑电路及其应用- 第八章:数字电子时钟与日历设计5. 教学内容安排与进度:a. 数字电路基础知识回顾(1课时)b. 数字电子日历原理讲解(1课时)c. 数字电子日历电路设计(2课时)d. 程序编写与调试(2课时)e. 电路搭建与测试(2课时)三、教学方法为了提高教学效果,激发学生的学习兴趣和主动性,本课程将采用以下多样化的教学方法:1. 讲授法:教师通过生动的语言、形象的比喻,为学生讲解数字电路基础知识、数字电子日历原理等理论内容,帮助学生建立完整的知识体系。
单片机课程设计 电子万年历的设计
![单片机课程设计 电子万年历的设计](https://img.taocdn.com/s3/m/593c75db7f1922791688e82c.png)
3.具有定时闹钟功能;
4.阴历与阳历的转换;
1.2
方案1——基于AT89S52单片机定时器产生时钟脉冲
不使用时钟芯片,而直接用AT89S52单片机来实现电子万年历设计。AT89S52是一种带8K字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦写1000余次。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89S52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。
③P2端口 (P2.0~P2.7) P2是一个带有内部上拉电阻的8位双向I/O端口。P2的输出缓冲器可驱动(吸收或输出电流方式)4个TTL输入。对端口写1时,通过内部的上拉电阻把端口拉到高电位,这时可用作输入口。P2作输入口使用时,因为有内部的上拉电阻,那些被外部信号拉低的引脚会输出一个电流。
④P3端口(P3.0~P3.7)P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流,这是由于上拉的缘故。
P3.6
/WR (外部数据存储器写选通)
P3.7
/RD (外部数据存储器读选通)
(2).DS1302时钟电路
芯片介绍:
低功耗时钟芯片DS1302可以对年、月、日、时、分、秒进行计时,且具有闰年补偿等多种功能。DS1302用于数据记录,特别是对某些具有特殊意义的数据点的记录上,能实现数据与出现该数据的时间同时记录。这种记录对长时间的连续测控系统结果的分析以及对异常数据出现的原因的查找有重要意义。
·可选的慢速充电(至VCC1)的能力。
电路课程设计自动数字日历
![电路课程设计自动数字日历](https://img.taocdn.com/s3/m/89d0f63e793e0912a21614791711cc7930b77802.png)
电路课程设计自动数字日历一、课程目标知识目标:1. 理解数字电路基础知识,掌握二进制、十进制之间的转换方法;2. 学习并掌握集成电路的使用,理解自动数字日历的电路原理;3. 掌握基本的编程思想,能利用所学知识对数字日历进行编程设计。
技能目标:1. 能运用所学知识,设计并搭建一个自动数字日历电路;2. 能够通过实际操作,调试并优化电路,解决实际问题;3. 培养学生的动手能力、创新能力和团队协作能力。
情感态度价值观目标:1. 培养学生对电子科学的兴趣,激发学生的学习热情和求知欲;2. 培养学生严谨、细致的学习态度,提高学生解决问题的自信心;3. 引导学生认识到科技对生活的改变,培养学生的社会责任感和创新精神。
课程性质:本课程为实践性课程,结合理论知识与实际操作,培养学生的动手能力、创新能力和实际应用能力。
学生特点:学生为八年级学生,具备一定的物理知识和电子技术基础,对新鲜事物充满好奇,喜欢动手实践。
教学要求:结合学生特点,注重理论与实践相结合,鼓励学生积极参与,注重培养学生的实际操作能力和团队协作能力。
在教学过程中,将课程目标分解为具体的学习成果,以便进行有效的教学设计和评估。
1. 数字电路基础知识:二进制与十进制的转换方法,逻辑门电路原理,触发器及其应用。
相关教材章节:第二章《数字电路基础》2. 集成电路及其应用:集成电路的分类、功能及其在自动数字日历中的应用。
相关教材章节:第三章《集成电路及其应用》3. 自动数字日历电路原理:日历芯片的工作原理,时钟电路、显示电路的设计与搭建。
相关教材章节:第四章《数字显示技术》4. 编程设计:介绍基本的编程思想,学习如何利用编程对数字日历进行设计。
相关教材章节:第五章《简易数字电路编程与应用》5. 实践操作:分组进行自动数字日历的设计、搭建与调试,实际操作中掌握所学知识。
相关教材章节:第六章《数字电路实践操作》教学内容安排与进度:第一课时:数字电路基础知识学习,二进制与十进制转换方法。
电子日历课程设计
![电子日历课程设计](https://img.taocdn.com/s3/m/81bbd17adc36a32d7375a417866fb84ae45cc30e.png)
电子日历 课程设计一、课程目标知识目标:1. 学生能理解电子日历的基本概念,掌握其功能与用途。
2. 学生能描述电子日历的设计原理,了解日历与时间管理的关系。
3. 学生能运用所学知识,分析并解释电子日历中的日期、星期、月份等时间单位。
技能目标:1. 学生能独立操作电子日历,进行日期查询、日程设置等基本操作。
2. 学生能运用电子日历进行时间规划,提高时间管理能力。
3. 学生能通过小组合作,设计并制作一个简单的电子日历。
情感态度价值观目标:1. 学生培养对时间管理的重视,养成珍惜时间的良好习惯。
2. 学生在电子日历设计与制作过程中,培养创新意识和团队协作精神。
3. 学生通过学习电子日历,增强对科技发展的认识,激发学习兴趣。
课程性质:本课程为信息技术课程,旨在让学生掌握电子日历的基本知识,提高时间管理能力,培养创新意识和团队协作精神。
学生特点:五年级学生具有一定的信息素养,对电子设备感兴趣,善于合作,但时间管理意识较弱。
教学要求:结合学生特点,注重理论与实践相结合,突出操作性和实用性,提高学生的实际操作能力和时间管理能力。
将课程目标分解为具体的学习成果,便于教学设计和评估。
二、教学内容1. 电子日历概述- 了解电子日历的定义、发展历程和分类。
- 掌握电子日历的基本功能和使用场景。
2. 电子日历的设计原理- 学习日历与时间管理的关系,了解电子日历的设计理念。
- 掌握日期、星期、月份等时间单位在电子日历中的应用。
3. 电子日历的操作与应用- 学习如何使用电子日历进行日期查询、日程设置等基本操作。
- 掌握利用电子日历进行时间规划的方法,提高时间管理能力。
4. 电子日历的制作- 学习制作简单电子日历的方法和步骤。
- 了解电子日历的制作工具,如编程软件、设计软件等。
5. 小组合作与展示- 分组进行电子日历设计与制作,培养团队协作精神。
- 展示作品,分享设计心得,互相学习,提高创新能力。
教学内容安排与进度:第一课时:电子日历概述、设计原理第二课时:电子日历的操作与应用第三课时:电子日历的制作(上)第四课时:电子日历的制作(下)及小组合作与展示教材章节关联:本教学内容与教材中“时间与日历”章节相关,涵盖电子日历的基本概念、操作与应用等方面,为学生提供系统的学习体验。
电子日历app课程设计
![电子日历app课程设计](https://img.taocdn.com/s3/m/f3e2731cbf1e650e52ea551810a6f524cdbfcb6c.png)
电子日历app课程设计一、课程目标知识目标:1. 学生能理解电子日历App的基本原理和功能特点,掌握相关编程知识,如事件处理、日期时间操作等。
2. 学生能了解电子日历App在生活中的应用,掌握基本的使用方法和操作技巧。
3. 学生能运用所学知识,分析并解决电子日历App在使用过程中可能遇到的问题。
技能目标:1. 学生能运用编程软件,独立完成电子日历App的基本设计和功能实现。
2. 学生能通过实际操作,提高自己的逻辑思维能力和问题解决能力。
3. 学生能在团队协作中,发挥自己的优势,与他人共同优化和完善电子日历App。
情感态度价值观目标:1. 学生能对电子日历App产生兴趣,培养对计算机科学和编程的热情。
2. 学生在课程学习过程中,培养良好的团队合作意识,学会倾听、尊重他人意见。
3. 学生通过学习电子日历App的制作,认识到科技对生活的改变,激发创新精神,关注社会发展。
课程性质:本课程为信息技术课程,旨在让学生在实际操作中掌握电子日历App的制作方法,提高编程技能和解决问题的能力。
学生特点:六年级学生具备一定的信息技术基础,对新鲜事物充满好奇心,喜欢动手操作,但注意力集中时间较短,需要引导和激发学习兴趣。
教学要求:课程应注重理论与实践相结合,以学生为主体,教师引导,鼓励学生主动探索、合作交流,提高学生的创新意识和实践能力。
教学过程中,关注学生的学习进度和情感态度,确保课程目标的实现。
二、教学内容1. 电子日历App基本原理:介绍电子日历App的功能、应用场景,引导学生了解其基本原理,如时间计算、事件提醒等。
2. 编程知识学习:结合课本内容,教授事件处理、日期时间操作等编程知识,让学生掌握电子日历App的核心技术。
- 事件处理:介绍事件的概念,如何为事件添加监听器,实现事件的触发和处理。
- 日期时间操作:讲解日期时间类的基本用法,如获取当前时间、日期的计算与格式化等。
3. 实践操作:组织学生进行电子日历App的设计与制作,按照以下步骤进行:- 界面设计:指导学生设计美观、实用的界面,包括日历视图、事件列表等。
数字电子万年历的设计(最新整理)
![数字电子万年历的设计(最新整理)](https://img.taocdn.com/s3/m/e6a338b75acfa1c7ab00ccb3.png)
4、有优异的性能、价格比。 可以说,对于广大的电子应用专业技术人员,目前国和内国外面临的单片机 应用技术,如同 60 年代面临晶体管技术,70 年代面临数字集成电路一样。单片 机和可编程门阵列相结合,构成新一代电子应用技术是不可能回避的一项新型的 工程应用技术。 单片机是微型计算机的一个重要分枝,单片机是把中央处理器、随机存储器、 只读存储器、定时器/计数器、并行接口接口、串行接口、A/D 转换器等主要计 算机部件,集中在一块集成电路芯片上。虽然只是一个芯片,但从功能上它就相 当于一台完整的微机。随着科学技术的迅猛发展,单片计集成度高、体积小、运 算速度快、功耗低、运行可靠、价格低廉等诸多优点,越来越显现出来。目前可
8052 是标准的 40 引脚双列直插式集成电路芯片,引脚分布请参照----单片 机引脚图图 1:
图 1 8052 引脚 P0.0~P0.7 P0 口 8 位双向口线(在引脚的 39~32 号端子)。 P1.0~P1.7 P1 口 8 位双向口线(在引脚的 1~8 号端子)。 P2.0~P2.7 P2 口 8 位双向口线(在引脚的 21~28 号端子)。 P3.0~P3.7 P2 口 8 位双向口线(在引脚的 10~17 号端子)。 8052 芯片管脚说明: VCC:供电电压。
单片机的出现,并在各个技术领域中得到如此迅猛的发展,与单片机构成计 算机应用系统所形成的下述特点有关:
1、单片机构成的应用系统有较大的可靠性。这些可靠性的获得除了依靠单 片机芯片本身的高可靠性以及应用有最少的联接外,还可以方便地采用软、硬件 技术。
2、系统扩展、系统配置较典型、规范,容易构成各种规模的应用系统,应 用系统有较高的软、硬件利用系数。
数字日历电路的设计
![数字日历电路的设计](https://img.taocdn.com/s3/m/47ac6c6ca98271fe910ef9ae.png)
课程设计报告课程名称:数字日历电路的设计专业/班级:通信工程姓名:王平学号:0930********指导教师:栾华东目的与要求(1)设计基准脉冲电路产生的信号;(2)能进行年、月、日的计时以及独立的时间显示电路;(3)能进行星期的显示;(4)快速校时;(5)充分结合和利用所学的内容来完成;(6)选作内容○1可以进行某年某月某日的备忘预设置,到达备忘日期后,该日期可以以4Hz的频率闪动;○2可以进行某年某月某日的备忘预设置,到达备忘日期后,报警灯闪动。
原理及方案(1)多谐振荡器电路:这里利用了555定时器和RC组成的多谐振荡器,产生1kHz的信号。
(2)分频器电路:利用三片74LS90集成芯片构成分频器将1kHz信号分频得到1Hz的秒脉冲信号,同时再利用一片74LS90芯片得到2Hz的校时信号。
(3)校时电路:利用一个开关和或门逻辑元器件组合而成。
可以分别对时间和年、月、日等进行校时。
(4)译码显示器:采用了共阴极的七段数字显示器,和译码器74LS48集成芯片组合成。
(5)时、分、秒计数电路:分别用两片74LS90集成芯片组成24进制、60进制、60进制作为时间的计数,均从0开始计数。
(6)星期计数电路:由74LS161构成的,从1开始计数到6,然后跳到8(星期日),完成一个星期的计数。
(7)年、月、日计数电路:年份的计数用4片74LS90构成104进制计数,月份由两片74LS90构成12进制并从1开始计数,而日也用74ls90构成经过数据选择器74LS151根据月份进行大月31进制、小月30进制、二月29进制的计数。
(8)备忘录预设置电路:由计数器、译码器和显示器构成可以对某日某月的设置。
(9)报警灯闪动系统:通过比较器74LS85对预设置和当前日期比较,相等时输出信号使灯闪动。
原理方框图如下:设计过程1.秒信号产生电路本电路中的振荡器是由555定时器构成的多谐振荡器。
由于555内部的比较器灵敏度较高,而且采用差分电路形式,它的振荡频率受电源电压和温度变化的影响很小。
电路课程设计数字日历
![电路课程设计数字日历](https://img.taocdn.com/s3/m/fa005d6b11661ed9ad51f01dc281e53a580251da.png)
电路课程设计数字日历一、教学目标本章课程的设计目标是使学生掌握数字日历的电路设计与实现。
在知识目标上,期望学生能够理解数字电路的基本组成原理,掌握常用的逻辑门电路及其功能,了解时序逻辑电路的构成及工作原理。
技能目标方面,学生应能够运用基本的逻辑门电路设计简单的数字电路,并利用时序逻辑电路实现数字日历的功能。
情感态度价值观目标上,通过课程的学习,培养学生对电子技术的兴趣,提高学生解决实际问题的能力,并培养学生的创新思维和团队协作精神。
二、教学内容本章的教学内容主要包括数字电路的基本概念、逻辑门电路、时序逻辑电路以及数字日历的设计与实现。
具体到教材的章节,包括第3章的数字电路基础,第4章的逻辑门电路,第5章的时序逻辑电路,以及第6章的数字日历设计。
三、教学方法为了实现教学目标,将采用多种教学方法相结合的方式进行教学。
首先,通过讲授法向学生传授数字电路的基本知识和设计原理。
其次,利用讨论法引导学生深入理解逻辑门电路和时序逻辑电路的工作原理,并通过案例分析法分析数字日历的实际设计案例。
此外,还将运用实验法,让学生亲自动手设计并实现数字日历电路,从而加深对理论知识的理解和应用。
四、教学资源教学资源的选择和准备将围绕教学目标和内容进行。
教材方面,选用《数字电路与逻辑设计》作为主教材,辅助以《数字电路实验指导书》进行实践操作。
参考书目包括《数字电路与应用》和《数字电路设计原理》。
多媒体资料方面,准备相关的教学PPT和视频教程,以便学生课后自学。
实验设备方面,确保每个学生都能在实验室中使用数字电路实验板进行实践操作。
五、教学评估教学评估是检验教学效果的重要手段。
本课程的评估方式包括平时表现、作业、考试等多个方面。
平时表现主要评估学生的课堂参与度、提问回答、小组讨论等,旨在培养学生的主动思考和沟通能力。
作业方面,将布置与课程内容相关的设计项目和电路实验,要求学生在规定时间内完成,以此检验学生对知识的理解和应用能力。
数电EDA课程设计电子日历
![数电EDA课程设计电子日历](https://img.taocdn.com/s3/m/1e7ea3ed19e8b8f67c1cb9fb.png)
燕山大学EDA课程设计报告书电子日历姓名:王斌班级:05级电子信息工程3班学号:050104020064日期:2007/11/05——2007/11/14一、设计题目:电子日历二、设计要求:1.能显示年,月,日,星期;2.例如: 01.11.08. 6,星期日显示8;3.年月日,星期可调;4.不考虑闰年三.设计思路:为实现本电路得功能,采取模块电路设计方法,本电路系统主要包括以下三三大模块:.1: 电子日历记数模块2: 中间控制模块3: 译码器显示模块由于不同的月份,决定了不同的天数,因此须设计反馈电路,协调月日的关系,通过不同的月选择相应的天数:比如二月二十八天,十二月三十一天,……..这是利用真值表列出逻辑表达式,从而画出电路图如图1:仿真图如下:四、设计过程:一、电子日历记数模块1、实现星期计时:为实现星期计时模块,计到星期日时,显示“8”,采用一般的计数器难以实现,即可通过四个jk触发器设计而成。
其电路图如下:仿真图如下:2、实现天数计时:由于不同的月份,决定了不同的天数,因此须设计三个独立完成计数的计数器电路,如日计数器周期性的(28,30或31)向月计数器进位调月日的关系,即通过三个选择端(c28,c30,c31),同一时刻只能有一个有效,由其中的任一个有效端来控制相应日计数器。
其电路原理图3、实现月份及年份计时:由用两个74160采用整体同步置数分别构成100进制和12进制计数器,分别完成年,月的计数功能。
然后将两者依次异步连接,每隔12个月,月计数器向年计数器进一位,从而实现年月的周期性计数。
月份计数器电路原理图如下:年份计数器电路图如下:仿真图如下:二、中间控制模块时钟脉冲经cp输入端引入,控制端有:K,Kweek,Kday,Kmonth,Kyear1,Kyear2当接入电源时,须按K按钮进行调整到某一时间即正常计时。
按下K时,同时按其它的任一控制端,即可完成对相应的控制及调整。
数字日历电路课程设计
![数字日历电路课程设计](https://img.taocdn.com/s3/m/3ab16f27482fb4daa58d4bf5.png)
课程设计报告课题:数字日历电路的设计班级电子0901 学号 ************学生姓名白冬专业电子信息工程系别信息工程系指导教师电子技术课程设计指导小组电子信息工程系2011年12月数字日历电路1 设计目的:(1)、培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。
(2)、学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。
(3)、培养学生的创新能力。
2 技术指标与设计要求利用计数器,译码器,数码管等器件,(1)、技术指标(1)设计基准脉冲信号产生电路。
(2)能进行年月日的计时以及独立的时间显示电路。
(3)能进行星期的显示。
(4)快速校时。
(2)、设计要求(1)按照任务书要求,进行电路设计,给出原理框图;(2)根据原理框图,进行整体电路设计;(3)安装、设计电路,实现预期功能;(4)提交格式符合要求、内容完整的设计报告。
3 总体设计七段数码显译码单元进制计数秒脉冲图1:总体框图(1).设计构想利用555构成的多谐振荡电路,震荡周期约为1秒,当做秒脉冲;74LS90为进制加法器,用来计算时分秒;74LS48为译码器,其输入端与74LS90输出端相连,输出端与7段式数码管相连,计数器输出值经译码后,由数码管显示计数。
用74LS161做7进制加法器,做星期计算(2).设计所需主要器件:74LS90,74LS48,74LS161,74LS192,以及七段共阴极显示器(3).显示电路显示电路主要用的是74LS48和七段共阴极显示器,常见的七段数字显示器有半导体数码显示器(LED)和液晶显示器(LCD)等。
本实验用共阴极数码管,74LS48输出为高电平有效,和共阴极数码管搭配。
74LS48的逻辑符号和引脚排列图如图所示。
设计出来的显示电路如下图:(5)分秒计时电路计时电路分秒计时电路主要用到74LS90,用于构成60进制计数器。
数字日历电路设计数字电子技术课程设计报告
![数字日历电路设计数字电子技术课程设计报告](https://img.taocdn.com/s3/m/201e90232af90242a895e51a.png)
数字电子技术课程设计报告设计题目:数字日历班级:计算机1202课程设计任务书摘要日历是一种日常使用的出版物,用于记载日期等相关信息。
每页显示一日信息的叫日历,每页显示一个月信息的叫月历,每页显示全年信息的叫年历。
有多种形式,如挂历、座台历、年历卡等,如今又有电子日历。
逢年过节,往往会送亲友日历已显亲情友情可日历在现代社会中是很重要的。
而纸制日历对森林保护不利,因此设计电子日历意义重大。
在设计日历倒计时器时,采用了模块化的思想,使得设计简单、易懂。
本设计能进行月、日、星期的的计数,在社会生活中具有实际的应用价值。
关键字:日历目录课程设计任务书 (I)摘要 (II)1.概述 (1)2.课程设计任务及要求 (1)2.1 设计任务 (1)2.2 设计要求 (1)3.理论设计 (1)3.1方案论证 (2)3.2 系统设计 (2)3.2.1结构框图及说明 (2)3.2.2系统原理图及工作原理 (3)3.3 单元电路设计 (5)3.3.1单元电路工作原理 (5)3.3.2元件参数选择 (10)4. 软件仿真 (11)4.1 仿真电路图 (11)4.2 仿真过程 (12)4.3 仿真结果 (12)5.安装调试 (13)5.1安装调试过程 (13)5.2安装调试结果 (14)5.3故障分析 (15)6. 结论 (16)7. 使用仪器设备清单 (17)8. 参考文献 (17)9.收获、体会和建议 (18)附录 (20)1.概述数字逻辑与数字系统课程设计是电子技术课程学习过程中非常重要的一环,是将理论知识和实践能力紧密结合的一环。
在本次设计数字日历的过程中,首先在选题方面下了功夫。
我选取了数字日历作为我的课程设计题目。
本次设计主要分为译码电路,数码显示电路,月、日、星期计数电路,控制电路四个模块,使用了74LS00、74LS48等六种中小规模集成电路。
其中最主要的是日、月、星期计数显示模块和控制电路模块。
2.课程设计任务及要求2.1 设计任务本次设计任务为数字日历,分为译码电路,数码显示电路,月、日、星期计数电路,控制选择电路四个模块,使用了74LS00、74LS04等六种中小模集成电路完成设计要求。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验报告的文字部分必须手写,报告必须采用北京邮电大 学的统一实验表格。若两份报告发现相同的文字在70% 以上,均没有实验成绩。报告成绩占总成绩的20%。
24
电路课程设计:自动数字日历
功到绩优
25
26
27
复习
4位集成二进制同步加法计数器74LS160/162
Q0 Q1 Q2 Q3
V CC CO Q0 Q 1 Q 2 Q3 CT T LD
2
电路课程设计:自动数字日历
(4)可手动置成1月1日,而星期不进行控制。 (5)在完成上述电路设计、安装、调试后,可以选做 下面功能: 将数字日历改为含闰年在内的自动数字日历。
可选用的器件
74LS162、74LS147各3块;74LS04、74LS112各2块; 74LS153、74LS10、74LS20、74LS02、74LS30、 74LS00各1块;555时基电路1块; 共阴极七段数码管、 发光二极管各3只;10k,30k,50k电阻各1只;330Ω 电阻6只。 电解电容:10f16V一个,370f16V两个;陶瓷电容: 0.01f两个,0.02f一个。 3
16
电路课程设计:自动数字日历
17
电路课程设计:自动数字日历
三、数字日历的具体分析
月显示 月计数
计月信号
日显示 日计数
星期显示 星期计数
计日信号
脉冲 发生器
月控制
日控制
星期控制
555
18
19
电路课程设计:自动数字日历
讨论设计重点日计数控制模块
设: F为计月信号 日:十位 Q2Q1 月:十位 Q3 个位 QD2QC2QB2QA2 个位 QD3QC3QB3QA3
大月信号:w1=∑(1,3,5,7,8,10,12)
小月信号:w2=∑(4,6,9,11) 平月信号: w3=∑(2) 大月进位检测信号:x1=Q2Q1QA2; F= w1x1+w2x2+w3x3
小月进位检测信号:x2=Q2Q1; 平月进位检测信号:x3=Q2QD2; w1,w2用卡诺图化简
20
电路课程设计:自动数字日历
9
电路课程设计:自动数字日历
二、数字系统的安装与调试
1、数字集成电路器件的功能检测; 2、实验电路的安装与布线:要分块安装调试 1)集成器件的接插:首先安排好主要器件位置,避免布局的 不合理或互连线过长; 2)导线的选用:用不同颜色,区别不同的用处,一般习惯用 深红色导线接电源,用黑色线接地;导线的剥口应为5~7mm, 导线插入插孔时,应防止塑料包层插入插孔,以造成接触不良;
74LS04为六组反相器芯片; 74LS112集成块是一双下降沿J-K触发器; 74ls153是常用的双4选1数据选择器; 74LS10三—3输入与非门电路; 74LS20一个四输入一输出的与非门; 74LS02是四—2输入或非门; 74LS30 8输入与非门; 74LS00是四—2输入端与非门。
具体步骤:a)对设计任务进行消化和理解,明确待设计的 总体方案; b)把系统方案划分为若干个相对独立又相互关 联的功能部件,画出总体逻辑图;
7
电路课程设计:自动数字日历
c)进行单元电路的设计,把逻辑图变为逻辑电路图。
月显示 月计数
计月信号
日显示 日计数
星期显示 星期计数
计日信号
脉冲 发生器
月控制
日控制
12
电路课程设计:自动数字日历
前级电路 后级电路
Rd
地线除了具有电阻外,还有电感。各级电流流过 公共地线时可能产生耦合而形成自激振荡(正反 馈)或信号干扰。
这些干扰信号是不确定的。常在电源和地之间接 入几十微法的电容。
13
插入布线照片
14ቤተ መጻሕፍቲ ባይዱ
电路课程设计:自动数字日历
15
电路课程设计:自动数字日历
4
电路课程设计:自动数字日历
仪器设备和器件
数字万用表1块,器件盒一个(上页列出的器件)、 工具盒1个(九件工具),5V直流电源1个,实验 面包板1块。 示波器是公用设备,导线根据需要取舍。
5
电路课程设计:自动数字日历
一、设计方法 数字电路:规模较小、完成逻辑功能比较简单的电路;
数字系统: 规模较大、完成逻辑功能比较复杂、比较 独立的电路,它包含输入电路、控制电路、 输出电路、被控电路和电源。其核心是控 制器。
星期控制
555
8
同一逻辑可用多种方法实现,确定实现方法时要考虑: 1)易于控制:控制方式尽可能简单; 2)集成电路块最少,节约成本; 3)画电路图时,按信号流向依次画出实现系统框图的各单元 电路。电路中的集成电路可用逻辑符号图来表示,在逻辑符号 框内标出器件的型号,各引线端标明引脚名称,而在框外的引 线旁标出脚号,为使电路图画的又快又好。要求电路图要画在 坐标纸上; 4)把功能部件组装成待设计的系统。
若Vco外接固定电压,则: VR1=Vco, VR2=1/2Vco
34
电路课程设计:自动数字日历
五、用555定时器接成的多谐振荡器
VT+=2/3Vcc
VT-=1/3Vcc
37
电路课程设计:自动数字日历
充电时间T1:
T 1 ( R1 R 2 )C ln ( R1 R 2 )C ln 2 Vcc VT Vcc VT
电路课程设计:自动数字日历
目的: 自动数字日历的设计属于小型数字系统设计。 通过该课程设计使同学得到数字电路系统设 计、模块设计、画原理图、元件布线和调试 等方面的训练,从而加深课堂所学的理论知 识,提高同学分析问题和解决问题的综合能 力。
1
电路课程设计:自动数字日历
任务和要求 设计一台显示月、日和星期的自动数字日历。 具体要求如下: (1)用七段数字显示器显示月、日的个位数和星期数;用发光 二极管以二进制方式显示月、日的十位数。在不考虑闰年的 情况下,在计日脉冲的作用下,自动完成1-12月的月、日及 星期的计数和显示。 (2)计日脉冲用555时基集成电路产生T=0.5-1秒的脉冲信号 代替。 (3)星期数的1-6用数码管显示1-6,而星期日要求数码管显 示8,读做“日”。
六、计算机辅助电路设计与仿真
学会使用EDA仿真软件workbench来辅助电路的设计和调 试。
multiSIM(EWB的最新版本)软件:是Interactive Image Technologies Ltd在20世纪末推出的电路仿真软件。其最新 版本为multiSIM12
21
电路课程设计:自动数字日历
28
例:将十进制的74160接成六进 制计数器 异步置零法
29
缺点:置0信号作用时间短
30
电路课程设计:自动数字日历
74LS160 (同步十进 制计数器)
31
电路课程设计:自动数字日历
四、555定时器
CB555电路结构图
555定时器是一种多用途的数字-模拟混合集成电路,利 用它能极方便地构成施密特触发器、单稳态触发器和多 谐振荡器。它的灵活、方便,使其在波形的产生与变换、 测量与控制、家用电器、电子玩具等许多领域中都得到 了应用。
32
vI1(6)是比较器C1的输入端(也称阂值 端,用TH标注); vI2 (2)是比较器C2的输入端(也称触发 端,用TR而标注)。 C1和C2的参考电压(电压比较的基 准)VR1和VR2由Vcc经三个5k电阻分 压给出。
33
电路课程设计:自动数字日历
Vco(5)控制电压输入端 悬空时:VR1=2/3Vcc, VR2=1/3Vcc CB555电路结构图 正常工作时必须 置零输入端 使它为高电平
七、时间安排
1、星期五至星期日:完成系统原理图设计、元件布线图(元 件管脚连接图),做到熟知原理和连线图;同时有电路的仿真 结果。(每两人组成一组,建议最好设本班同学之间组队);
2、星期一上午9:30拿原理图、元件布线图(每组的每个成员 必须同时满足要求)和仿真程序,经老师检查合格后,领元件、 工具并开始进实验室,搭电路。(地点:4-123#) 1、2项的工作占实验成绩评定的20%!
10
电路课程设计:自动数字日历
3)布线的顺序: a\先将固定电平的端点接好,如电源、地线和门电路的多余输入 端,这些线要尽量地短,且接近电源和地线的位置。 b\按信号的流向对划分的功能模块逐一布线,注意:导线不宜过 长,最好贴近集成元件周围横竖方向走线,尽量避免导线重叠, 不要覆盖插孔,切忌导线跨越集成元件的上空,杂乱地在空中搭 成网状。
16
15
14
13
12 11
10
9
CTT CTP 74LS160 CP
74LS160 1 2 3 4 5 6 7 8
CO LD
CR CP D0 D1 D2 D3 CTP GND (a) 引脚排列图
CR D 0 (b)
D 1 D 2 D3
逻辑功能示意图
①CR=0时异步清零。 ② CR=1、 LD=0时同步置数。 ③CR=LD=1且CPT=CPP=1时,按照4位自然二进制码进行 同步十进制计数。 ④CR=LD=1且CPT· CPP=0时,计数器状态保持不变。
6
电路课程设计:自动数字日历
设计方法有很多种,主要分为两大类: 1.用计算机来模拟设计数字系统:如VHDL语言和EDA仿真工具 (如FPGA、SOC等)。 2.自顶向下的设计方法:把较复杂的数字系统按功能划分为一组 相对独立又相互关联的模块,再进行分块的设计和实现。
方法之一、试凑法 (适合规模较小的数字系统,本次设计采用)
放电时间T2:
T2 R 2C ln R 2C ln 2 0 VT 0 VT
故电路的振荡周期为: T T 1 T 2 ( R1 2 R2 )C ln2 T1 R1 R 2 输出脉冲的占空比为: q T 2 R1 2 R 2