数字日历电路的设计..
具有温度指示的数字万年历设计方案
具有温度指示的数字万年历设计方案1 绪论随着电子技术的迅速发展,特别是随大规模集成电路出现,给人类生活带来了根本性的改变。
由其是单片机技术的应用产品已经走进了千家万户。
其中电子万年历就是一个典型的例子。
而且在万年历的基础上还可以扩展其它的实用功能,比如温度计。
万年历是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站,码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。
诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,但是所有这些,都是以钟表数字化为基础的。
因此,研究万年历及扩大其应用,有着非常现实的意义。
市场上有许多电子钟的专用芯片如:LM8363 、LM8365 等,但它们功能单一,电路连接复杂,不便于调试制作。
但是考虑到用单片机配合时钟芯片,可制成功能任意的电子钟,而且可以做到硬件简单、成本低廉。
所以本系统采用了以广泛使用的单片机AT89S52 技术为核心,配合时钟芯片DS1302 。
软硬件结合,使硬件部分大为简化,提高了系统稳定性,并采用LCD 显示电路、键盘电路,使人机交互简便易行,此外结合音乐闹铃电路、看门狗和供电电路。
本方案设计出的数字钟可以显示时间、设置闹铃功能之外。
本文首先描述系统硬件工作原理,并附以系统结构框图加以说明,着重介绍了本系统所应用的各硬件接口技术和各个接口模块的功能及工作过程, 其次,详细阐述了程序的各个模块和实现过程。
本设计以数字集成电路技术为基础,单片机技术为核心。
本文编写的主导思想是软硬件相结合,以硬件为基础,来进行各功能模块的编写。
本设计中我重点研究实现了单片机+ 时钟芯片这种模式的万年历,从原理上对单片机和时钟芯片有了深一步的认识,这些基本功能完成后,在软件基础上实现时间显示。
电子万年历的电路原理与设计
湖南信息科学职业学院毕业论文(设计)电子万年历电路原理与设计学生姓名:刘文明学号:08120126年级专业:二00八级计算机控制技术专业指导老师:凌双明湖南·长沙提交日期:2011年5月目录摘要 (3)1前言 (3)2设计要求与方案论证 (3)2.1设计要求 (4)2.2系统基本方案选择和论证 (4)2.2.1 显示模块选择方案和论证 (4)2.2.2单片机串口通信的选择方案和论证 (4)3 系统的硬件设计与实现 (5)3.1 电路设计框图 (5)3.1.1万年历显示设计框图 (5)3.1.2液晶显示设计框图 (5)3.1.3单片机之间、电脑单片机联机设计图 (5)3.2 系统硬件概述 (6)3.3主要单元电路的设计 (6)3.3.1万年历单片机主控制模块的设计 (7)3.3.2光控开关模块的设计 (7)3.3.3万年历显示模块的设计 (7)3.3.4温度感应模块的设计 (8)3.3.5串口通信模块的设计 (9)4结束语 (9)参考文献 (10)附录 (10)附录1系统使用说明书 (11)附录2万年历实物图 (12)电子万年历的电路原理与设计作者:刘文明指导老师:凌双明(湖南信息科学职业学院电子信息系2008级计算机控制技术专业,长沙410128)摘要:随着当今世界经济的快速发展和信息化时代的来临,各种各样的小型智能家电产品陆续出现在我们的生活当中。
日历是人们不可或缺的日常用品。
但一般日历都为纸制用品,使用不便,寿命不长。
电子万年历采用智能电子控制和显示技术,改善了纸制日历的缺陷。
万年历具有读取方便、显示直观、功能多样、电路简洁、成本低廉等诸多优点,符合电子仪器仪表的发展趋势,具有广阔的市场前景。
关键词:单片机89C52,串口通信,控制模块,显示模块,发声模块, 12864LCD 液晶1.前言万年历可以显示年、月、日、时、分、秒、星期等,具有日期和时间校准、闰年补偿、温度显示、闹钟、光控开关,秒表等多种功能,万年历串口通信具有电脑一键校准时间,利用电脑联机,设置心情语悟,增加名片等功能。
《EDA技术及应用》数字日历电路的设计
《EDA技术及应用》数字日历电路的设计1 系统设计1.1 设计要求1.1.1 设计任务设计并制作一台数字日历。
1.1.2 性能指标要求①用EDA实训仪的I/O设备和PLD芯片实现数字日历的设计。
②数字日历能够显示年、月、日、时、分和秒。
③用EDA实训仪上的8只八段数码管分三屏分别显示年、月、日和时、分、秒,即在一定时间段内显示年(如002022),一段时间段内月、日(如000101),然后在另一时间段内显示时、分、秒(如120000),两个时间段能自动倒换。
④数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时,依此类推。
1.2 设计思路及设计框图1.2.1设计思路通过EDA课程的学习,总体设计中包括显示部分、计时部分、控制部分、校时部分、年月日部分以及分频功能。
之后运用Verilog HDL语言编写60进制、24进制、年月日模块(nyr2022.)、控制模块(contr)、校时选择模块(mux_4)、显示选择模块(mux_16)和分频器的源程序,分别生成元件符号,然后将各个模块连接起来画成原理图再下载到实训箱上,调节开关及按钮来实现数字日历的功能。
1.2.2总体设计框图2 各个模块程序的设计1.1 年月日模块clrn是异步清除输入端,低电平有效;clk是时钟输入端,上升沿有效;jn、jy和jr分别是校年、校月、校日输入端;qn[15..0]、qy[7..0]、qr[7..0]分别是年、月、日的输出端。
1.2 控制模块控制模块上的clk给的是1s时钟脉冲,k是一个两位的控制输出端,所以k可以有4个值,它与显示模块共同控制年月日,时分秒,月日显示的切换。
1.3 校时选择模块①当k=0时,开关j1,j2,j3校秒时分然后将信号分别送到jsq模块的jm(校秒)、jf(校分)、js(校时)。
②当k=1时,开关j1,j2,j3校年月日然后将信号分别送到nyr模块的jr(校日)、jy(校月)、jn(校年)。
数电课程设计数字日历电路
题目:数字日历电路班级:姓名:数字日历电路一、设计任务及要求:1、用5个数码管分别显示月、日、星期;2、月、日的计数器显示均从1开始,每月按30天算;3、对星期的计数显示从1到6再到日(日用8代替)。
二、方案设计与论证:日历是一种日常使用的出版物,用于记载日期等相关信息。
每页显示一日信息的叫日历,每页显示一个月信息的叫月历,每页显示全年信息的叫年历。
有多种形式,如挂历、座台历、年历卡等,如今又有电子日历。
逢年过节,往往会送亲友日历已显亲情友情可日历在现代社会中是很重要的。
而纸制日历对森林保护不利,因此设计电子日历意义重大。
在设计日历倒计时器时,采用了模块化的思想,将日历分为三个部分:日期、月份及年份,使得设计简单、易懂。
本设计能进行月、日、星期的的计数,在社会生活中具有实际的应用价值。
下面就是我们组设计电子日历的主要思路:本数字日历电路计数显示电路和控制电路组成,计数显示电路主要由同步十进制计数器74LS160构成日期、月份和星期计数器,然后通过译码器数码管显示出来控制调节电路则用了组合控制逻辑电路去控制日期计数器及月计数器的置数端和使能端,从而实现日期和月份的调节功能。
星期显示在脉冲作用下,从星期一到星期日循环计数,从而形成星期随着日期循环显示。
综上,该方案是具体可行的。
三、设计原理及框图:本数字日历电路主要由五个加计数器160、五个48译码器、显示器、控制开关构成。
它们的工作原理是:用两片十进制计数器74LS160同步预置数(高位置入0000,低位置入0001)构成日期计数器,使其每次从一开始计数,从日期计数器的输出三十这个信号使其同时给月计数器的CP端信号使其计数,最后给日计数器的低位以信号源使其计数,同时在脉冲的作用下,使星期循环计数,随着日期的变化而变化。
74LS48译码器将信号传给显示器显示数据。
四、各单元电路设计与分析:1、日期计数显示电路:日期计数器采用两片十进制计数器74LS160同步预置数构成,控制置数端使其每次从1开始计数(对应每月第一天)。
数字日历电路的设计-EDA实训
成绩批阅教师日期桂林电子科技大学实训报告2016-2017学年第1学期学院海洋信息工程学院课程 EDA综合实训姓名钟朝林学号 1416030218 指导老师覃琴日期 2016/12/29实训题目:数字日历电路的设计1 概述1.1 设计要求1.1.1 设计任务设计并制作一台数字日历。
1.1.2 性能指标要求①用EDA实训仪的I/O设备和PLD芯片实现数字日历的设计。
②数字日历能够显示年、月、日、时、分和秒。
③用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段内显示年、月、日(如20080101),然后在另一时间段内显示时、分、秒(如00123625),两个时间段能自动倒换。
④数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时,依此类推。
1.2 总体设计基本原理及框图1.2.1 基本原理日历主要由年月日模块、时分秒模块、控制模块、显示模块、校时模块组成。
采用3个公用按钮j1、j2、和j3完成时分秒或年月日的校时,用8只七段数码管分时完成时分秒或年月日的显示。
设计电路的计时器模块(jsq24)用于完成一天的24小时计时;年月日模块接收计时器模块送来的“天”脉冲进行计数,得到日月年的显示结果,控制模块产生控制信号k,控制数码显示器显示年月日,还是时分秒,或者自动轮流显示;校时选择模块在k信号的控制下,选择将j1、j2和j3这3个校时按钮产生的信号是送到计时器模块的校秒、校分和校时输入端,还是送到年月日模块的校天、校月、校年输入端;显示选择模块在k信号的控制下,选择是将计时器模块的时、分、秒状态信号,还是将年月日模块的年、月、日状态信号送到数码管显示器显示。
1.2.2 总体框图2 系统软件设计分析2.1年月日模块module r(clrn,clk,jn,jy,jr,qn,qy,qr); //年月日模块input clrn,clk,jn,jy,jr;output reg[15:0] qn;reg [15:0] qn1;output reg[7:0] qy,qr;reg clkn,clky;reg[7:0] date;reg clkn1,clkn2,clkn3;initial begin clkn1=1;clkn2=1;clkn3=1;endinitial begin qn='h2011;qn1=2011;qy=1;qr=1;endalways @(posedge (clk^jr) or negedge clrn)// 日计时模块beginif(~clrn)qr=1;else beginif(qr==date)begin qr=1; clky=1;endelse begin qr=qr+1;clky=0;endif(qr[3:0]=='ha)beginqr[3:0]=0;qr[7:4]=qr[7:4]+1;endendendalways @(posedge (clky^jy) or negedge clrn)//月计时模块beginif(~clrn) qy=1;else beginif(qy=='h12) begin qy=1;clkn=1;endelse begin qy=qy+1;clkn=0;endif(qy[3:0]=='ha)beginqy[3:0]=0;qy[7:4]=qy[7:4]+1;endendendalwayscase(qy)'h01:date='h31;'h02:beginif((qn1%4==0)&(qn1%100 != 0)|(qn1%400==0))date='h29;else date='h28;end'h03:date='h31;'h04:date='h30;'h05:date='h31;'h06:date='h30;'h07:date='h31;'h08:date='h31;'h09:date='h30;'h10:date='h31;'h11:date='h30;'h12:date='h31;default: date='h30;endcaseendalways @( posedge (clkn^jn) or negedge clrn) //年计时模块 beginif(~clrn)begin qn[3:0]=1;qn1=2011;endelse begin if(qn[3:0]==9)qn[3:0]=0;else begin qn[3:0]=qn[3:0]+1;qn1=qn1+1;endif(qn[3:0]==9)clkn1=0;else clkn1=1;endendalways @(posedge clkn1 or negedge clrn)beginif(~clrn)qn[7:4]=1;else begin if(qn[7:4]==9) qn[7:4]=0;else qn[7:4]=qn[7:4]+1;if(qn[7:4]==9) clkn2=0;else clkn2=1;endendalways @(posedge clkn2 or negedge clrn)beginif(~clrn)qn[11:8]=0;else begin if(qn[11:8]==9) qn[11:8]=0;else qn[11:8]=qn[7:4]+1;if(qn[11:8]==9) clkn3=0;else clkn3=1;endendalways @(posedge clkn3 or negedge clrn)if(~clrn)qn[15:12]=2;else if(qn[15:12]==9) qn[15:12]=0;else qn[15:12]=qn[15:12]+1;endendmodule2.2时分秒模块module cnt60(clk,clrn,j,q,cout);//分和秒计时input clk,clrn,j;output reg[7:0] q;output reg cout;always @(posedge clk^j or negedge clrn )beginif (~clrn) q=0;else beginif (q=='h59) begin q=0;cout=1;endelse begin q=q+1;cout=0;endif (q[3:0]=='ha) beginq[3:0]=0;q[7:4]=q[7:4]+1;endendendendmodulemodule cnt24(clk,clrn,j,q,cout);//小时计时input clk,clrn,j;output reg[7:0] q;output reg cout;always @(posedge clk^j or negedge clrn )beginif (~clrn) q=0;else beginif (q=='h23) begin q=0;cout=1;endelse begin q=q+1;cout=0;endif (q[3:0]=='ha) beginq[3:0]=0;q[7:4]=q[7:4]+1;endendendendmodule2.3控制模块module contr(clk,k1,k2,k);input clk,k1,k2;output reg k;reg [3:0] qc;reg rc;always @(posedge clk)begin qc=qc+1;if (qc<8) rc=0;else rc=1;case ({k1,k2})0:k=rc; //八秒显示年月日八秒显示时分秒的自由转换 1:k=0;//显示并且校准时分秒2:k=1; //显示并且校准年月日3:k=rc;endcaseendendmodule2.4显示模块module mux_16(k,qm,qf,qs,qr,qy,qn,q);input k;input [7:0]qm,qf,qs,qr,qy;input [15:0] qn;output reg [31:0]q;alwaysbeginif (k==0) beginq[31:24]=0;q[23:0]={qs,qf,qm};endelse q={qn,qy,qr};endendmodule2.5校时模块module mux_4(k,jm,jf,js,jr,jy,jn,j1,j2,j3); input k,j1,j2,j3;output reg jm,jf,js,jr,jy,jn;alwaysbeginif (k==0) {jm,jf,js}={j1,j2,j3};else {jr,jy,jn}={j1,j2,j3};endendmodule2.6闹钟模块module naozhong(qs,qf,led,zt);input zt;input[7:0] qs,qf;output led;reg led;alwaysbeginif (qs=='h06&&qf=='h01) //6:01闹钟开始闪烁led=1;elseled=0;if(zt==1) led=0; // 在任意时刻都可以关掉闹钟endendmodule2.7分频器模块module FENP(clk,newclk);input clk;output reg newclk;reg[24:0] cnter;always @(posedge clk)beginif(cnter<20000000) cnter=cnter+1;else cnter=0;if (cnter<10000000) newclk=1;elsenewclk=0;endendmodule3 系统测试(调试)3.1 测试仪器与设备计算机,EDA实训仪。
电路课程设计数字日历
电路课程设计数字日历一、教学目标本章课程的设计目标是使学生掌握数字日历的电路设计与实现。
在知识目标上,期望学生能够理解数字电路的基本组成原理,掌握常用的逻辑门电路及其功能,了解时序逻辑电路的构成及工作原理。
技能目标方面,学生应能够运用基本的逻辑门电路设计简单的数字电路,并利用时序逻辑电路实现数字日历的功能。
情感态度价值观目标上,通过课程的学习,培养学生对电子技术的兴趣,提高学生解决实际问题的能力,并培养学生的创新思维和团队协作精神。
二、教学内容本章的教学内容主要包括数字电路的基本概念、逻辑门电路、时序逻辑电路以及数字日历的设计与实现。
具体到教材的章节,包括第3章的数字电路基础,第4章的逻辑门电路,第5章的时序逻辑电路,以及第6章的数字日历设计。
三、教学方法为了实现教学目标,将采用多种教学方法相结合的方式进行教学。
首先,通过讲授法向学生传授数字电路的基本知识和设计原理。
其次,利用讨论法引导学生深入理解逻辑门电路和时序逻辑电路的工作原理,并通过案例分析法分析数字日历的实际设计案例。
此外,还将运用实验法,让学生亲自动手设计并实现数字日历电路,从而加深对理论知识的理解和应用。
四、教学资源教学资源的选择和准备将围绕教学目标和内容进行。
教材方面,选用《数字电路与逻辑设计》作为主教材,辅助以《数字电路实验指导书》进行实践操作。
参考书目包括《数字电路与应用》和《数字电路设计原理》。
多媒体资料方面,准备相关的教学PPT和视频教程,以便学生课后自学。
实验设备方面,确保每个学生都能在实验室中使用数字电路实验板进行实践操作。
五、教学评估教学评估是检验教学效果的重要手段。
本课程的评估方式包括平时表现、作业、考试等多个方面。
平时表现主要评估学生的课堂参与度、提问回答、小组讨论等,旨在培养学生的主动思考和沟通能力。
作业方面,将布置与课程内容相关的设计项目和电路实验,要求学生在规定时间内完成,以此检验学生对知识的理解和应用能力。
毕业设计---基于单片机的多功能电子万年历的设计
基于单片机的多功能电子万年历的设计摘要随着科技的快速发展,自从观太阳、摆钟到现在电子钟,人类不断研究,不断创新纪录。
本文主要介绍了基于单片机的智能电子万年历的研制,该万年历能够实时显示公历年、月、日、时、分、秒,以及对应的农历日期、24节气、天干地支、闹铃功能,同时还能够实时测取环境温度。
本系统的硬件部分主要由A VR单片机、时钟芯片、温度传感器等部件组成,文中给出了详细的硬件设计实现及相关电路图;软件部分主要包含公历转农历的算法设计模块、显示模块、时间的读取、温度的检测模块,按键的扫描输入模块等,文中给出了系统的软件程序流程图及各功能模块的软件程序清单,最后介绍了整体系统的设计实现、仿真及调试过程,给出了下一步的改进方案等。
关键词:单片机;液晶技术;万年历;时钟芯片Design of Multifunctional digital Perpetual Calendar Based on MCUAbstractWith the development of technology,Since the concept of the sun, Baizhong, andnow the electronic bell,human beings continue to study and constant innovation record。
This paper-based Microcontroller Development of Intelligent electronic calendar, The calendar can display real-time in the calendar year, month, day, hours, minutes and seconds,a nd the correspond ing date of the Lunar New Year, 24 Solar Terms,at the same time also to real-time measurement from the ambient temperature,In addition to the user through the keyboard input years of history,for the correspond ing period of the Lunar.The system hardware from some of the major A VR microcontroller, a number of digital control, decoder, the clock chip,temperature sensors and other components,the paper gives a detailed design and implementation of hardware and related circuit;Software contains some of the major Lunar calendar to the algorithm design module,dynamic digital display modules,time to read,temperature detection module,Press enter the scanning module.In this paper, the system software modules and flow chart of the list of software programs,Finally, the realization of the overall system design, simulation and debugging process, the next step is the improvement programmes.Keywords:MCU;crystal technology;Calendar;Clock chip目录引言 (1)第1章绪论 (2)1.1课题的背景与意义 (2)1.2 数字万年历的现状与发展 (2)1.3 论文的主要工作及章节安排 (3)1.4 本章小结 (3)第2章方案论证比较.............................................................................. (4)2.1 多功能数字万年历系统概述 (4)2.2计时方案 (4)2.3温度检测方案 (5)2.4显示方案 (5)2.5本章小结 (5)第3章系统硬件设计 (6)3.1 主控制器ATmega16 单片机介绍 (6)3.2 时钟电路DS1302 (6)3.3 温度检测DS18B20 (7)3.4 动态显示 (8)3.5 键盘接口 (8)3.6 语音闹铃模块 (8)3.7 电源设计 (9)3.8本章小结 (11)第4章系统软件设计 (12)4.1 公历计算显示程序设计 (13)4.1.1 DS1302 内部寄存器 (13)4.1.2 时间读取程序设计 (15)4.2 农历转换程序设计 (16)4.2.1 公历转农历算法研究 (16)4.2.2 干支纪年简介 (18)4.2.3 公历转农历程序 (18)4.3 温度测量程序设计 (20)4.3.1 DS18B20 的测温原理 (20)4.3.2 温度程序 (21)4.4 二十四节气算法研究 (23)4.5系统仿真 (24)4.6本章小结 (25)结论与展望 (26)致谢 (27)参考文献 (28)附录 A 电子万年历原理图 (29)附录 B 外文文献与译文 (30)英文原文: (30)中文译文: (33)附录 C 参考文献题录及摘要 (35)附录 D 电子万年历源程序 (37)插图清单图2-1 数字万年历系统框图 (4)图3-1 DS1302与ATmega16连接图 (7)图3-2 DS18B20与AtMEGA16连接图 (8)图3-3 报时电路 (9)图3-4 稳压电源原理图 (10)图3-5 电源电路 (10)图4-1 系统程序流程图 (13)图4-2 公历程序流程图 (14)图4-3 DS18B20测温原理 (21)表格清单表3-1 LCD12864显示内容 (8)表4-1 DS1302的寄存器及其控制字 (14)表4-2 RS位配置 (15)引言人类的日常生活离不开时间,任何具有周期性变化的自然现象都可以用来测量时间。
电子信息工程之数字万年历课程设计方案
电子信息工程之数字万年历课程设计方案目录1、系统概述 (5)2、系统硬件设计 (5)2.1单片机控制系统原理 (5)2.2 硬件的设计总框图 (6)2.3 各种功能模块硬件设计及实现 (6)2.3.1 、AT89S52单片机 (7)2.3.2、DS1302实时时钟芯片 (10)2.3.3、温度模块 (13)2.3.4、1602液晶显示器 (15)3、系统程序设计 (18)3.1主程序流程图 (18)3.2中断服务流程图 (19)3.3程序 (21)设计总结 (41)参考文献 (42)附录 (43)1、系统概述本设计主要分为硬件电路设计和软件实现两大部分。
硬件电路设计采用模块设计:中央处理电路、时钟电路、温度测量电路三大部分;软件采用C语言编程实现,设计采用按功能模块划分,包括:主程序、显示程序、温度测量程序、时钟程序等。
在中央处理器上我们采用MCS-51单片机,该单片机是集CPU ,RAM ,ROM ,计数和多种接口于一体的微控制器。
自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注。
它体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易,广泛应用于智能生产和工业自动化上。
在时间功能上主要依靠实时时钟芯片DS1302来完成大部分功能,DS1302是具有涓细电流充电能力的低功耗实时时钟电路,它以其接口简单、价格低廉、使用方便,被广泛地采用。
它的主要特点是采用串行数据传输,可为掉电保护电源提供可编程的充电功能,并且可以关闭充电功能。
采用普通32.768kHz晶振。
所以用此款芯片来实现时间功能是完全能满足电路的要求。
温度方面工作由数字式温度传感器DS18B20来完成,这款温度传感器是具有线路简单,体积小,方便易用等特点,温度测量范围为-55℃~+125℃,可编程为9位~12位A/D转换精度,测温分辨率可达0.0625℃,被测温度用符号扩展的16位数字量方式串行输出。
数字日历电路课程设计
课程设计报告课题:数字日历电路的设计班级电子0901 学号 ************学生姓名白冬专业电子信息工程系别信息工程系指导教师电子技术课程设计指导小组电子信息工程系2011年12月数字日历电路1 设计目的:(1)、培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。
(2)、学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。
(3)、培养学生的创新能力。
2 技术指标与设计要求利用计数器,译码器,数码管等器件,(1)、技术指标(1)设计基准脉冲信号产生电路。
(2)能进行年月日的计时以及独立的时间显示电路。
(3)能进行星期的显示。
(4)快速校时。
(2)、设计要求(1)按照任务书要求,进行电路设计,给出原理框图;(2)根据原理框图,进行整体电路设计;(3)安装、设计电路,实现预期功能;(4)提交格式符合要求、内容完整的设计报告。
3 总体设计七段数码显译码单元进制计数秒脉冲图1:总体框图(1).设计构想利用555构成的多谐振荡电路,震荡周期约为1秒,当做秒脉冲;74LS90为进制加法器,用来计算时分秒;74LS48为译码器,其输入端与74LS90输出端相连,输出端与7段式数码管相连,计数器输出值经译码后,由数码管显示计数。
用74LS161做7进制加法器,做星期计算(2).设计所需主要器件:74LS90,74LS48,74LS161,74LS192,以及七段共阴极显示器(3).显示电路显示电路主要用的是74LS48和七段共阴极显示器,常见的七段数字显示器有半导体数码显示器(LED)和液晶显示器(LCD)等。
本实验用共阴极数码管,74LS48输出为高电平有效,和共阴极数码管搭配。
74LS48的逻辑符号和引脚排列图如图所示。
设计出来的显示电路如下图:(5)分秒计时电路计时电路分秒计时电路主要用到74LS90,用于构成60进制计数器。
数电电子日历课程设计
数电电子日历课程设计一、课程目标知识目标:1. 学生能理解数字电子日历的基本原理,掌握其电路组成及工作原理。
2. 学生能运用所学知识,设计并搭建一个简单的数字电子日历。
3. 学生了解数字电子日历在实际应用中的优点和局限性。
技能目标:1. 学生能够运用所学数字电路知识,分析和解决数字电子日历中存在的问题。
2. 学生具备查阅相关资料、编写程序和调试电路的能力,完成数字电子日历的设计。
3. 学生能够通过小组合作,提高沟通协调能力和团队协作精神。
情感态度价值观目标:1. 学生培养对电子技术的兴趣和热情,提高学习积极性。
2. 学生在课程学习中,培养动手实践和解决问题的能力,增强自信心。
3. 学生通过学习数字电子日历,认识到科技对生活的改变,激发创新意识。
课程性质:本课程为实践性较强的课程,结合理论知识,让学生动手实践,培养实际操作能力。
学生特点:学生已具备一定的数字电路知识基础,具有较强的求知欲和动手能力。
教学要求:教师应注重理论与实践相结合,引导学生通过小组合作,自主探究,完成课程目标。
同时,关注学生的个体差异,给予个性化指导。
在教学过程中,注重培养学生的创新意识和团队协作精神。
通过课程学习,使学生能够将所学知识应用于实际生活中。
二、教学内容1. 数字电路基础知识回顾:逻辑门电路、组合逻辑电路、时序逻辑电路原理及功能。
2. 数字电子日历原理:介绍数字电子日历的电路组成、工作原理及其在生活中的应用。
3. 教学大纲:a. 数字电子日历电路设计:讲解如何设计数字电子日历电路,包括时钟电路、显示电路、控制电路等。
b. 程序编写与调试:教授如何编写控制程序,实现日期、时间显示及调整功能,并指导学生进行程序调试。
c. 电路搭建与测试:指导学生搭建数字电子日历电路,进行功能测试,分析并解决可能出现的问题。
4. 教材章节:- 第六章:数字电路基础知识- 第七章:时序逻辑电路及其应用- 第八章:数字电子时钟与日历设计5. 教学内容安排与进度:a. 数字电路基础知识回顾(1课时)b. 数字电子日历原理讲解(1课时)c. 数字电子日历电路设计(2课时)d. 程序编写与调试(2课时)e. 电路搭建与测试(2课时)三、教学方法为了提高教学效果,激发学生的学习兴趣和主动性,本课程将采用以下多样化的教学方法:1. 讲授法:教师通过生动的语言、形象的比喻,为学生讲解数字电路基础知识、数字电子日历原理等理论内容,帮助学生建立完整的知识体系。
数字电路万年历
万年历设计实验报告班级:计算机控制技术年级:2011级名字:学号:指导老师:阿坝师专电子信息工程系引言电子万年历作为电子类小设计不仅是市场上的宠儿,也是现代生活不可或缺的电子器件.随着社会的不断进与发展,信息技术的逐渐提高各式各样的万年历层出不穷。
所以,电子万年历无论作为课程设计题目还是练习题目都是很有价值。
万年历设计报告一、设计题目万年历的设计二、设计要求1、能够显示“年"“月"“日”“星期”“时”“分”“秒”。
2、必须符合实际,如:在闰年的2月只能显示29天、、、、、、3、应该做到智能的进位。
4、用74LS90、74LS160、74LS161及必要的门电路去实现.三、设计目的1、通过本课程的设计,可以培养独立思考、综合用所学有关的相应知识的能力,能更好的掌握《数电》课堂所学知识。
2、掌握proteus的基本用法和仿真.四、设计原理万年历由年、月、日、星期、时、分、秒组成,其中六十进制的有分和秒;二十四进制的有小时;十二进制的有月;年用十进制表示;因此该电路主要有十进制、十二进制、二十四进制、和六十进制组成;在数字电路中有很多集成芯片可以实现以上的进制,如:74LS160、74LS190、、、、、、但是在本实验中为了方便我们选择74LS160和74LS90及必要的门电路;脉冲有两种选择一种是同步触发,另一种是异步触发,因为考虑到不同人有不同的选择,在此设计中根据需要选择不同的触发方式。
本设计主要由五大部分构成,即:时分秒、星期、日、月、年的控制.整体图1四、仿真软件在Proteus软件上仿真五、设计步骤1、时、分、秒由设计原理结构框架的分析可以得到在这里使用60进制用在分钟和秒钟的计数上面,而小时我们使用二十四进制;由我们日常生活的常识可以知道通常时、分、秒的计数往往是从“0”开始的,并且都是两位数组成他们分别为十位和个位。
对于秒钟,秒钟到了59之后秒钟的十位和个位都归零,同时向“分钟”输出一个进位信号使得分钟在原来的状态下加1,然后进入下一轮计数。
数字日历电路的设计
课程设计报告课程名称:数字日历电路的设计专业/班级:通信工程姓名:王平学号:0930********指导教师:栾华东目的与要求(1)设计基准脉冲电路产生的信号;(2)能进行年、月、日的计时以及独立的时间显示电路;(3)能进行星期的显示;(4)快速校时;(5)充分结合和利用所学的内容来完成;(6)选作内容○1可以进行某年某月某日的备忘预设置,到达备忘日期后,该日期可以以4Hz的频率闪动;○2可以进行某年某月某日的备忘预设置,到达备忘日期后,报警灯闪动。
原理及方案(1)多谐振荡器电路:这里利用了555定时器和RC组成的多谐振荡器,产生1kHz的信号。
(2)分频器电路:利用三片74LS90集成芯片构成分频器将1kHz信号分频得到1Hz的秒脉冲信号,同时再利用一片74LS90芯片得到2Hz的校时信号。
(3)校时电路:利用一个开关和或门逻辑元器件组合而成。
可以分别对时间和年、月、日等进行校时。
(4)译码显示器:采用了共阴极的七段数字显示器,和译码器74LS48集成芯片组合成。
(5)时、分、秒计数电路:分别用两片74LS90集成芯片组成24进制、60进制、60进制作为时间的计数,均从0开始计数。
(6)星期计数电路:由74LS161构成的,从1开始计数到6,然后跳到8(星期日),完成一个星期的计数。
(7)年、月、日计数电路:年份的计数用4片74LS90构成104进制计数,月份由两片74LS90构成12进制并从1开始计数,而日也用74ls90构成经过数据选择器74LS151根据月份进行大月31进制、小月30进制、二月29进制的计数。
(8)备忘录预设置电路:由计数器、译码器和显示器构成可以对某日某月的设置。
(9)报警灯闪动系统:通过比较器74LS85对预设置和当前日期比较,相等时输出信号使灯闪动。
原理方框图如下:设计过程1.秒信号产生电路本电路中的振荡器是由555定时器构成的多谐振荡器。
由于555内部的比较器灵敏度较高,而且采用差分电路形式,它的振荡频率受电源电压和温度变化的影响很小。
eda日历电路路课程设计
eda日历电路路课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握日历电路的设计原理;2. 学生能够运用所学知识,设计并实现一个功能齐全的日历电路;3. 学生了解日历电路在实际应用中的重要性,如时间计算、日期显示等。
技能目标:1. 学生能够运用EDA软件进行电路设计,提高实际操作能力;2. 学生通过小组合作,培养团队协作能力和沟通能力;3. 学生能够分析并解决日历电路设计过程中遇到的问题,提升问题解决能力。
情感态度价值观目标:1. 学生对电子设计产生兴趣,培养探索精神和创新意识;2. 学生在学习过程中,树立正确的价值观,认识到科技发展对社会进步的重要性;3. 学生通过自主学习和合作交流,培养自信心和责任感。
本课程旨在让学生将所学理论知识与实际操作相结合,提高学生的实践能力。
针对学生的年龄特点和认知水平,课程设计注重启发式教学,引导学生主动探索、积极思考。
同时,注重培养学生的团队合作精神,提升学生的综合素质。
通过本课程的学习,为学生今后的电子设计及相关领域的学习打下坚实基础。
二、教学内容本课程依据课程目标,结合教材内容,制定以下教学大纲:1. EDA基本概念与原理- EDA软件介绍与安装- EDA设计流程及方法2. 日历电路设计原理- 日历电路的功能与组成- 常见日历电路的设计方法3. EDA软件操作与实践- EDA软件界面及功能介绍- 日历电路的绘制与仿真4. 日历电路设计与实现- 设计要求及注意事项- 小组合作进行电路设计- 电路调试与优化5. 成果展示与评价- 各小组展示设计成果- 学生互评与教师评价- 总结与反思教学内容按照以上大纲进行安排和进度控制,共计10个课时。
教材章节关联如下:1. EDA基本概念与原理:教材第1章2. 日历电路设计原理:教材第2章3. EDA软件操作与实践:教材第3章4. 日历电路设计与实现:教材第4章5. 成果展示与评价:教材第5章三、教学方法本课程根据教学内容和课程目标,选择以下多样化的教学方法,以激发学生的学习兴趣和主动性:1. 讲授法:教师通过讲解EDA基本概念、原理及日历电路设计方法,为学生奠定理论基础。
电子万年历的电路原理与设计
电子万年历电路原理与设计学生姓名:张开志、邱云翔、陈繁设计指导:刘刚提交日期:2011年6月电子万年历的电路原理与设计摘要:随着当今世界经济的快速发展和信息化时代的来临,各种各样的小型智能家电产品陆续出现在我们的生活当中。
日历是人们不可或缺的日常用品。
但一般日历都为纸制用品,使用不便,寿命不长。
电子万年历采用智能电子控制和显示技术,改善了纸制日历的缺陷。
万年历具有读取方便、显示直观、功能多样、电路简洁、成本低廉等诸多优点,符合电子仪器仪表的发展趋势,具有广阔的市场前景。
Pick to: along with the rapid development of economy in the world today with the advent of the information age, all kinds of small-sized intelligent electrical appliances product gradually appear in our life. Calendar is people indispensable everyday items. But general calendar for paper supplies, use inconvenience, life is not long. Electronic calendar using intelligent electronic control and display technology, improve the paper calendar defects. Calendar has read convenient, direct display, functional diversity, simple circuit, low cost, and many other advantages, conform to the trend of the development of electronic instruments, and has a broad market prospect.关键词:单片机STC89C52,串口通信,控制模块,显示模块,发声模块, 12864LCD 液晶1.前言万年历可以显示年、月、日、时、分、秒、星期等,具有日期和时间校准、闰年补偿、温度显示、闹钟功能。
电路课程设计自动数字日历
电路课程设计自动数字日历一、课程目标知识目标:1. 理解数字电路基础知识,掌握二进制、十进制之间的转换方法;2. 学习并掌握集成电路的使用,理解自动数字日历的电路原理;3. 掌握基本的编程思想,能利用所学知识对数字日历进行编程设计。
技能目标:1. 能运用所学知识,设计并搭建一个自动数字日历电路;2. 能够通过实际操作,调试并优化电路,解决实际问题;3. 培养学生的动手能力、创新能力和团队协作能力。
情感态度价值观目标:1. 培养学生对电子科学的兴趣,激发学生的学习热情和求知欲;2. 培养学生严谨、细致的学习态度,提高学生解决问题的自信心;3. 引导学生认识到科技对生活的改变,培养学生的社会责任感和创新精神。
课程性质:本课程为实践性课程,结合理论知识与实际操作,培养学生的动手能力、创新能力和实际应用能力。
学生特点:学生为八年级学生,具备一定的物理知识和电子技术基础,对新鲜事物充满好奇,喜欢动手实践。
教学要求:结合学生特点,注重理论与实践相结合,鼓励学生积极参与,注重培养学生的实际操作能力和团队协作能力。
在教学过程中,将课程目标分解为具体的学习成果,以便进行有效的教学设计和评估。
1. 数字电路基础知识:二进制与十进制的转换方法,逻辑门电路原理,触发器及其应用。
相关教材章节:第二章《数字电路基础》2. 集成电路及其应用:集成电路的分类、功能及其在自动数字日历中的应用。
相关教材章节:第三章《集成电路及其应用》3. 自动数字日历电路原理:日历芯片的工作原理,时钟电路、显示电路的设计与搭建。
相关教材章节:第四章《数字显示技术》4. 编程设计:介绍基本的编程思想,学习如何利用编程对数字日历进行设计。
相关教材章节:第五章《简易数字电路编程与应用》5. 实践操作:分组进行自动数字日历的设计、搭建与调试,实际操作中掌握所学知识。
相关教材章节:第六章《数字电路实践操作》教学内容安排与进度:第一课时:数字电路基础知识学习,二进制与十进制转换方法。
51单片机电子万年历设计电路图及程序之欧阳道创编
摘要:电子万年历是一种非常广泛日常计时工具,对现代社会越来越流行。
它可以对年、月、日、周日、时、分、秒进行计时,还具有闰年补偿等多种功能,而且DS1302的使用寿命长,误差小。
对于数字电子万年历采用直观的数字显示,可以同时显示年、月、日、周日、时、分、秒和温度等信息,还具有时间校准等功能。
该电路采用AT89S52单片机作为核心,功耗小,能在3V的低压工作,电压可选用3~5V电压供电。
本设计是基于51系列的单片机进行的电子万年历设计,可以显示年月日时分秒及周信息,具有可调整日期和时间功能。
在设计的同时对单片机的理论基础和外围扩展知识进行了比较全面准备。
在硬件与软件设计时,没有良好的基础知识和实践经验会受到很大限制,每项功能实现时需要那种硬件,程序该如何编写,算法如何实现等,没有一定的基础就不可能很好的实现。
在编写程序过程中发现以现有的相关知识要独自完成编写任务困难重重,在老师和同学的帮助下才完成了程序部分的编写。
万年历的设计过程在硬件与软件方面进行同步设计。
硬件部分主要由AT89C52单片机,LED显示电路,以及调时按键电路等组成。
在单片机的选择上本人使用了AT89C52单片机,该单片机适合于许多较为复杂控制应用场合。
显示器使用2片7SEG-MPX8-CA和一片7SEG-MPX4-CA。
7SEG-MPX8-CA是一种八个共阳二极管显示器,7SEG-MPX4-CA是一种四个共阳二极管显示器。
为了能更轻松的控制这三片显示器,本人使用了3片74HC164来驱动。
74HC164 是8 位边沿触发式移位寄存器,串行输入数据,然后并行输出。
软件方面主要包括日历程序、时间调整程序,公历转阴历程序,显示程序等。
程序采用汇编语言编写,以便更简单地实现调整时间及阴历显示功能。
所有程序编写完成后,在wave软件中进行调试,确定没有问题后,在Proteus软件中嵌入单片机内进行仿真。
最后总在老师同学的帮助以及自己的努力下完成了此次电子万年历的设计。
数字电子万年历的设计(最新整理)
4、有优异的性能、价格比。 可以说,对于广大的电子应用专业技术人员,目前国和内国外面临的单片机 应用技术,如同 60 年代面临晶体管技术,70 年代面临数字集成电路一样。单片 机和可编程门阵列相结合,构成新一代电子应用技术是不可能回避的一项新型的 工程应用技术。 单片机是微型计算机的一个重要分枝,单片机是把中央处理器、随机存储器、 只读存储器、定时器/计数器、并行接口接口、串行接口、A/D 转换器等主要计 算机部件,集中在一块集成电路芯片上。虽然只是一个芯片,但从功能上它就相 当于一台完整的微机。随着科学技术的迅猛发展,单片计集成度高、体积小、运 算速度快、功耗低、运行可靠、价格低廉等诸多优点,越来越显现出来。目前可
8052 是标准的 40 引脚双列直插式集成电路芯片,引脚分布请参照----单片 机引脚图图 1:
图 1 8052 引脚 P0.0~P0.7 P0 口 8 位双向口线(在引脚的 39~32 号端子)。 P1.0~P1.7 P1 口 8 位双向口线(在引脚的 1~8 号端子)。 P2.0~P2.7 P2 口 8 位双向口线(在引脚的 21~28 号端子)。 P3.0~P3.7 P2 口 8 位双向口线(在引脚的 10~17 号端子)。 8052 芯片管脚说明: VCC:供电电压。
单片机的出现,并在各个技术领域中得到如此迅猛的发展,与单片机构成计 算机应用系统所形成的下述特点有关:
1、单片机构成的应用系统有较大的可靠性。这些可靠性的获得除了依靠单 片机芯片本身的高可靠性以及应用有最少的联接外,还可以方便地采用软、硬件 技术。
2、系统扩展、系统配置较典型、规范,容易构成各种规模的应用系统,应 用系统有较高的软、硬件利用系数。
数字日历电路设计数字电子技术课程设计报告..
数字电子技术课程设计报告设计题目:数字日历级:计算机1202课程设计题目:数字日历设计目的:1.进一步熟悉中、小规模数字集成电路的工作原理及使用方法。
2.掌握小型数字系统的设计、组装与调试方法。
设计内容:用常用的中、小规模数字集成电路设计一个小型数字系统,自行设计、完成系统的功能。
设计要求:1.理论设计部分⑴独立完成系统的原理设计。
说明系统实现的功能,应达到技术指标,进行方案论证,确定设计方案。
⑵画出电路图,说明各部分电路的工作原理,初步选定所使用的各种器件的主要参数及型号,列出元器件明细表。
⑶系统中包含的中、小规模集成电路的种类至少在六种以上。
2.模拟仿真⑴根据理论设计用multisim 10在计算机上进行仿真。
验证所设计方案的正确性。
⑵分析电路的工作原理,写出仿真报告。
3.安装调试部分⑴实现所设计的小型数字系统,并进行单元测试和系统调试,完成系统功能。
⑵若系统出现故障,排除系统故障,分析并记录系统产生故障的原因,并将此部分内容写在报告中。
日历是一种日常使用的出版物,用于记载日期等相关信息。
每页显示一日信息的叫日历,每页显示一个月信息的叫月历,每页显示全年信息的叫年历。
有多种形式,如挂历、座台历、年历卡等,如今又有电子日历。
逢年过节,往往会送亲友日历已显亲情友情可日历在现代社会中是很重要的。
而纸制日历对森林保护不利,因此设计电子日历意义重大。
在设计日历倒计时器时,采用了模块化的思想,使得设计简单、易懂。
本设计能进行月、日、星期的的计数,在社会生活中具有实际的应用价值。
关键字:日历课程设计任务书II 1概述2.课程设计任务及要求2.1设计任务1.2.2设计要求1. 3.理论设计3.1方案论证 2.3.2系统设计2.3.2.1结构框图及说明3.2.2系统原理图及工作原理3.3单元电路设计5.3.3.1单元电路工作原理3.3.2元件参数选择104.软件仿真114.1仿真电路图114.2仿真过程124.3仿真结果125.安装调试135.1安装调试过程 1.35.2安装调试结果 1.45.3故障分析 1.5 6.结论167.使用仪器设备清单178.参考文献 1.7 9.收获、体会和建议 1.8附录201.概述数字逻辑与数字系统课程设计是电子技术课程学习过程中非常重要的一环, 是将理论知识和实践能力紧密结合的一环。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
桂林电子科技大学信息科技学院《EDA技术与应用》实训报告学号姓名指导教师:2012 年 6 月22 日题目:数字日历电路的设计1.系统设计1.1设计要求1.1.1设计任务用EDA的方法设计一个数字日历1.1.2性能指标①用EDA实训仪的I/O设备和PLD芯片实现数字日历的设计。
②数字日历能够显示年、月、日、时、分、秒。
③数字日历有复位的功能,有校年、月、日和校时、分、秒的功能,通过一个开关来转换时校年、月、日还是校时、分、秒。
④此数字日历具有闹钟的功能,在6:01将开启闹钟,用一个LED灯表示,可以在任意时刻关闭闹钟。
1.2设计思路及框图1.2.1设计思路日历主要由年月日模块和时分秒模块组成,由分频器提供脉冲,再加上一个控制模块实现控制选择校准时分秒还是校准年月日。
也可以实现时分秒和年月日的八秒自由转换。
再加上一个闹钟,在6:01的时候闹钟开启,并由一个开关控制,在任意时间都可以关掉闹钟。
1.2.2总体设计框图2各模块程序设计2.1时分秒计时器模块:module cnt60(clrn,clk,q,j,cont); //秒和分计时input clrn,clk,j;output reg [7:0] q;output reg cont;always @(posedge clk^j or negedge clrn)beginif(~clrn) q=0;else beginif(q=='h59) q=0;else q=q+1;if(q[3:0]=='ha) begin q[3:0]=0;q[7:4]=q[7:4]+1;end if(q=='h59) cont=1;else cont=0;endendendmodulemodule cnt24(clrn,clk,q,j,cont); //小时计时input clrn,clk,j;output reg [7:0] q;output reg cont;always @(posedge clk^j or negedge clrn)beginif(~clrn) q=0;else beginif(q=='h23) q=0;else q=q+1;if(q[3:0]=='ha) begin q[3:0]=0;q[7:4]=q[7:4]+1;endif(q=='h23) cont=1;else cont=0;endendendmodule2.2年月日模块module nyr2009(clrn,clk,jn,jy,jr,qn,qy,qr); //年月日模块input clrn,clk,jn,jy,jr;output [15:0] qn;output [7:0] qy,qr;reg [15:0] qn;reg [7:0] qy,qr;reg clkn,clky;reg [7:0] date;reg clkn1,clkn2,clkn3;initial begin clkn1=1;clkn2=1;clkn3=1;endinitial begin qn='h2000;qy=1;qr=1;endalways @(posedge (clk^jr) or negedge clrn) // 日计时模块beginif (~clrn) qr=1;else beginif (qr==date) qr=1;else qr=qr+1;if (qr[3:0]=='ha) beginqr[3:0]=0; qr[7:4]=qr[7:4]+1;endif (qr==date) c lky = 1;else clky = 0;endendalways @(posedge clky^jy or negedge clrn) //月计时模块beginif (~clrn) qy=1;else beginif (qy=='h12) qy=1;else qy=qy+1;if (qy[3:0]=='ha) beginqy[3:0]=0;qy[7:4]=qy[7:4]+1;endif (qy=='h12) clkn = 1;else clkn = 0;endendalwaysbegincase (qy)'h01: date='h31;'h02: b eginif ((qn%4==0)&(qn%100 != 0)|(qn%400==0)) date='h29;else date='h28; end'h03: date='h31;'h04: date='h30;'h05: date='h31;'h06: date='h30;'h07: date='h31;'h08: date='h31;'h09: date='h30;'h10: date='h31;'h11: date='h30;'h12: date='h31;default :date='h30;endcaseendalways @(posedge (clkn^jn) or negedge clrn ) //年计时模块beginif (~clrn) qn[3:0]=0;else begin if(qn[3:0]==9) qn[3:0]=0;else qn[3:0]=qn[3:0]+1;if (qn[3:0]==9) clkn1=0;else clkn1=1;endendalways @(posedge clkn1 or negedge clrn )beginif (~clrn) qn[7:4]=0;else begin if(qn[7:4]==9) qn[7:4]=0;else qn[7:4]=qn[7:4]+1;if (qn[7:4]==9) clkn2=0;else clkn2=1;endendalways @(posedge clkn2 or negedge clrn )beginif (~clrn) qn[11:8]=0;else begin if(qn[11:8]==9) qn[11:8]=0;else qn[11:8]=qn[11:8]+1;if (qn[11:8]==9) clkn3=0;else clkn3=1;endendalways @(posedge clkn3 or negedge clrn )beginif (~clrn) qn[15:12]=2;else if(qn[15:12]==9) qn[15:12]=0;else qn[15:12]=qn[15:12]+1;endendmodule2.3校时模块module mux_4(k,jm,jf,js,jr,jy,jn,j1,j2,j3); input k,j1,j2,j3;output reg jm,jf,js,jr,jy,jn;alwaysbeginif (k==0) {jm,jf,js}={j1,j2,j3};else {jr,jy,jn}={j1,j2,j3};endendmodule2.4分频器模块同时给时分秒和闹钟送入脉冲module FENP(clk,newclk);input clk;output reg newclk;reg[24:0] cnter;always @(posedge clk)beginif(cnter<20000000) cnter=cnter+1;else cnter=0;if (cnter<10000000) newclk=1;elsenewclk=0;endendmodule2.5控制器模块显示年月日和显示时分秒直接的转换控制module contr(clk,k1,k2,k);input clk,k1,k2;output reg k;reg [3:0] qc;reg rc;always @(posedge clk)begin qc=qc+1;if (qc<8) rc=0;else rc=1;case ({k1,k2})0:k=rc; //八秒显示年月日八秒显示时分秒的自由转换1:k=0; //显示并且校准时分秒2:k=1; //显示并且校准年月日3:k=rc;endcaseendendmodule2.6闹钟模块module naozhong(qs,qf,led,zt);input zt;input[7:0] qs,qf;output led;reg led;alwaysbeginif (qs=='h06&&qf=='h01) //6:01闹钟开始闪烁led=1;elseled=0;if(zt==1) led=0; // 在任意时刻都可以关掉闹钟endendmodule2.7数码管显示模块module mux_16(k,qm,qf,qs,qr,qy,qn,q);input k;input[7:0] qm,qf,qs,qr,qy;input[15:0] qn;output reg [31:0] q;alwaysbeginif (k==0) beginq[31:24]=0;q[23:0]={qs,qf,qm};endelse q={qn,qy,qr};endendmodule3.调试过程在调试过程中出现很多问题,在时分秒里加入分频器可以实现计时,但是闹钟就不能实现1秒钟闪烁一次的功能,当给闹钟加上一个分频器的时候,时分秒模块也不能自动计时。
首先认识到必须只能有一个分频器,而且还能同时给两个提供脉冲。
所以就删除了时分秒里的分频器,在总的图中加入一个分频器,同时给两个提供脉冲,这样两个功能都可以同时实现。
4.功能测试4.1 测试仪器与设备电脑,EDA实训仪。
4.2 性能指标测试S0、S1控制手动切换和自动切换,当S0、S1都往上拨或都往下拨都是自动切换,SO 上拨S1下拨是显示并可以校准时分秒的,反之是显示和校准年月日的。
K8、K7、K6分别是校准年月日或时分秒的。
K0是复位功能5 实训心得体会通过这次EDA实训,把课堂上学习的知识又巩固了一遍,也更加熟悉了对quartusII 软件的使用。
这次我们小组选作数字日历这个题目,创新点在于闹钟模块,可以实现闹钟的功能。
通过小组的分工合作,把大家的思路整合在一起,在一起分析程序的使用,互相学习,更加理解了程序的用法。
EDA的功能很强大,去除了传统算法的复杂,是程序简单化,几个语句就可以实现想要的功能。
在我们所做的日历中,分别用到计数,分频,选择器这些最基本的功能,然后加以改善和创新,实现了日历的功能。