哈工大电工实验自主设计实验抢答器-计时器设计电路

合集下载

数电实验智力竞赛抢答计时器

数电实验智力竞赛抢答计时器

智力竞赛抢答计时器的设计设计任务设计一个4 人参加的智力竞赛抢答计时器。

当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响,此时抢答器不再接受其他输入信号。

图9—48 总体框图电路具有回答问题时间控制功能。

要求回答问题时间小于等于l00s (显示为0~99 ) , 时间显示采用倒计时方式。

当达到限定时间时,发出声响以示苦告。

总体框图如图9—48 所示。

2 .模块及模块功能模块FENG 如图9—49 所示。

此模块在任一个选手按下按键后,输出高电平给锁存器,锁存当时的按键状态。

由于没有时钟同步,所以锁存的延时时间只是硬件延时时间,从而出现锁存错误的概率接近零。

library ieee; ——任一选手按下按键后,锁存器完成锁存,对其余选手的请求不做只有在主持人按下按键复位后才可以再次抢答use ieee.std_logic_1164.all;entity feng isport(cp,clr:in std_logic;q:out std_logic);end feng;architecture feng_arc of feng isbeginprocess(cp,clr)beginif clr='0' thenq<='0'; 图9—49 模块FENGelsif cp'event and cp='0' thenq<='1';end if;end process;end feng_arc;模块SEL如图9—50所示。

此模块产生数码管片选信号。

library ieee;use ieee.std_logic_1164.all;entity sel isport(clk:in std_logic;a:out integer range 0 to 7);end sel;architecture sel_arc of sel is 图9—50 模块SELbeginprocess(clk)variable aa:integer range 0 to 7;beginif clk'event and clk='1' thenaa:=aa+1;end if;a<=aa;end process;end sel_arc;模块LOCKB如图9—51所示。

电子电路课程设计报告(竞赛抢答器)

电子电路课程设计报告(竞赛抢答器)

电子电路课程设计报告(软件设计部分)课题名称竞赛抢答器课题编号设计题 10学院(系)专业学生姓名学号指导老师年月日一、设计目标在知识竞赛、文体娱乐活动(抢答赛活动)中,抢答器能准确、公正、直观地判断出抢答者的座位号。

1.结合实际需要设计一个四个选手参赛的抢答器,主持人宣布开始抢答时,10秒倒计时电路启动,如有选手抢答,倒计时停止,阻止其他选手抢答。

2.准确分辨、记录第一个有效按下抢答键者,并用显示器和扬声器进行指示。

3.结合实际需要完善以上功能。

二、设计方案主要设计方案由多路开关经过锁存器控制,以及计数器构成的倒计时电路,再经过编码器、译码器和显示电路、音频电路,实现抢答器功能。

其原理框图如下:多路开关按下之后,锁存器能记下第一个按下开关的数字,此时其他开关按下无效。

当按下重置按钮后倒计时重新开始,开始另一轮抢答。

计数器在时钟脉冲的作用下,具有倒计时功能。

编码器、译码器、显示电路把锁存器输出的状态和倒计时剩余的时间显示到7段数码管上,在显示抢答成功的选手的同时蜂鸣器开始报警,这位选手对应的LED灯也亮。

按下重置按钮后,LED灯熄灭,蜂鸣器停止报警。

三、仿真电路1.抢答部分的实现电路的主要器件是74LS175型四上升沿D 触发器,其引脚排列及真值表如图所示。

它的清零端D R 和时钟脉冲CP 是四个D 触发器共用的。

抢答前,1Q ~4Q 均为低,相应的LED 都不亮,1Q ~4Q 均为高。

与非门U5A 输出为低,蜂鸣器不响。

同时U9B 输出为高,将U9A 开通,时钟脉冲可以经过U9A 进入D 触发器的CP 端。

此时由于各开关均未按下,1D ~4D 均为低,所以触发器状态不变。

抢答开始后,若5S 先按下,1D 和1Q 均变成高,相应的LED1亮。

1Q 变成低,与非门U5A 输出为高,扬声器响。

同时U9B 输出为低,将U9A 关断,时钟脉冲不能经过U9A 进入D 触发器的CP 端,其他按钮也就没有作用了。

哈工大数电自主设计实验

哈工大数电自主设计实验

姓名班级学号实验日期节次教师签字成绩百秒内倒计时器设计1.实验目的1. 培养分析、设计逻辑电路的基本能力。

2. 进一步熟悉常用芯片的基本使用。

3. 熟悉仿真软件Multisim 11.0的基本操作。

2.总体设计方案或技术路线倒计时系统的原理框图如下所示:a.振荡环节和分频/计数控制环节用555电路组成多谐振荡器,产生f=1Hz的信号,即秒脉冲。

计数控制环节是指减1计数器状态为00(即倒计时结束)时,使计数器停止计数。

这时只要使秒脉冲不再持续即可。

这里将判零信号与多谐振荡器输出信号通过与门连接,即可实现该功能。

b.赋初值控制、减1计数器环节和译码显示环节这里用两片双时钟加/减计数器74LS192级联即可实现该部分功能。

将计数器输出端接到LED显示管,即可以实现译码显示功能。

c.判零电路和报警控制通过集成或门将计数器各输出连接起来,只有当计数器状态为00(两片74LS90的输出端QDQCQBQA=0000,此时倒计时输出结束),或门输出结果才为0。

将或门输出信号作为判零信号。

则倒计时结束时,秒脉冲停止,计数器不再计数。

将判零连接至非门后,将非门输出信号连接至小喇叭,这样,倒计时结束后,小喇叭发出声响,实现倒计时结束报警功能。

具体实现过程参见原理分析部分。

3.实验电路图图 1 秒脉冲产生及计数控制电路图 2赋初值、减1计数及判零报警电路图3完整电路4. 仪器设备名称、型号实验箱、子板1台双踪示波器1台数字万用表1台555定时器1片74LS90 1片74LS00 1片74LS192 2片74LS32 2片LED数码管2组(实验箱上集成)小喇叭1个(实验箱上集成)电容、电阻、导线等若干5.理论分析或仿真分析结果a.振荡环节和分频/计数控制环节用555电路组成多谐振荡器,产生f=1Hz的信号,即秒脉冲。

由555定时器构建多谐振荡器的基本原理,多谐振荡器的振荡周期为:这里采用Multisim 11.0对电路进行仿真。

数电课程设计报告四人智力竞赛抢答器电路的设计

数电课程设计报告四人智力竞赛抢答器电路的设计

数字电子技术实习报告(四人智力比赛抢答器电路的设计)一、设计目的1.掌握四人智力比赛抢答器电路的设计、组装与调试方法。

2.熟习数字集成电路的设计和使用方法。

二、设计任务与要求1.设计任务设计一台可供 4 名选手参加比赛的智力比赛抢答器。

选手时,数码管显示选手组号,同时对应的LED 灯亮。

2.设计要求1)四名选手编号为 :1,2,3,4。

各有一个抢答按钮,按钮的编号与选手的组号对应,也分别为 1,2,3,4。

2)给主持人设计一个控制按钮,用来控制系统清零 (抢答显示数码管灭灯)和抢答开始。

3)抢答器拥有数据锁存和显示的功能。

抢答开始后,若有选手按动抢答按钮,该选手编号立刻被锁存,并在抢答器上显示该编号,同时 LED 灯给出灯光提示,封闭输入编码电路,严禁其余选手抢答。

抢答选手编号向来保持到主持人清零为止。

4)用 555 产生频次为 1Hz 的脉冲信号,作为准时计数器的C P 信号。

三、四人智力比赛抢答器的电路原理及设计方案一 :1.四人智力比赛抢答器的原理仿真图以下其工作原理为:接通电源后,主持人将开关拨到" 消除 " 状态,抢答器处于严禁状态,编号显示器灭灯;主持人将开关置开始"状态,宣告 " 开始 "抢答器工作。

选手按抢答按钮抢答时,信号经过 D 触发器锁存并输出到后级得CD4511BCD 译码器和发光二极管;依据信号点亮发光二极管和数码管显示按钮的编号。

当一轮抢答以后,准时器停止、严禁二次抢答、准时器显示节余时间。

假如再次抢答一定由主持人再次操作"消除 "和" 开始 " 状态开关。

2.单元电路的原理剖析:1)抢答器电路其功能主要有74LS175D触发器和74LS20和74LS00达成。

该电路达成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,;二是严禁其余选手按键操作无效。

工作过程:开关J2 置于 " 消除 " 端时, 74LS17Q5D 触发器的 CLR端均为0, Q端均为 0, =1,所以数码管显示0 全部发光二管Q 不亮;。

哈工大电路自主设计实验

哈工大电路自主设计实验

所以,有:
������������ = ������ =
������ (
1 ������������
) ������
������ = ������ + ������������������
������ 2 + (������������)2
������������������������ = |������������ | ������������������������ = = |������|
90Hz
204mW
202mW
200mW 30Hz ((V(C1:2) - V(C1:1)) * I(R1))
60Hz 90Hz cos(P(V(C1:2)- V(C1:1))- P(I(R1))) *(V(C1:2) - V(C1:1)) * I(R1) Frequency
������ = 0.5������������时的视在功率、有功功率、功率因数
2、实验数据 (1)实验数据记录表 1.
实验电路图 1 C/μF 视在功率/VA 有功功率/W 无功功率/Var 功率因数 体现性质 R2 0.6 0.6 0 1 阻性 所有负载 1.3 1.3 0 1 阻性 L1 1.6 0.3 1.5 0.19 感性 实验电路图 2 所有负载 2.2 1.6 1.4 0.73 感性 C1 1.0 0 1.0 0 容性 实验电路图 3 所有负载 2.1 0.7 2.1 0.33 容性
90Hz ((V(C1:2) - V(C1:1)) * I(R1))
������ = 0.7������������ 时的视在功率、有功功率、功率因数
1.2
0.8
0.4
0 30Hz 60Hz cos(P(V(C1:2)- V(C1:1))- P(I(R1))) *(V(C1:2) - V(C1:1)) * I(R1)/((V(C1:2) - V(C1:1)) * I(R1)) Frequency

哈工大电工电子实验综合设计 四名选手竞赛抢答器

哈工大电工电子实验综合设计 四名选手竞赛抢答器

04.四名选手竞赛抢答器一、设计要求:设计一个四名选手竞赛抢答电路,要求:四个选手每人有一个抢答按键,并对应各有的一只指示灯。

主持人有一个用于控制指示灯和报警声的复位键和一个抢答开始允许控制键。

另外还设有一个犯规指示灯。

抢答开始时,当某个选手先按下抢答键后其相应的指示灯点亮,其余的选手再按无效。

如果选手在主持人的抢答允许控制键按下之前按抢答键,其对应指示灯亮,发出报警声,同时犯规指示灯点亮。

二、设计方案:1.设计原理及设计方案选择(1)总体思路通过J-K触发器即芯片74LS112实现锁存功能,当任一名选手按亮指示灯后其他选手再按无效;对于主持人抢答允许控制键的设计采用与非门实现;抢答允许前按亮指示灯会有报警。

(2)芯片74LS112①管脚图②功能说明74LS112为双J-K触发器,为集成异步二进制加法计数器,可以实现由“000”依次变换到“111”的功能。

CLK1,CLK2——时钟输入端(下降沿有效)J1,J2,K1,K2——数据输入端Q1,Q2,/Q1,/Q2——输出端CLR1,CLR2——直接复位端(低电平有效)PR1,PR2——直接置位端(低电平有效)(3)芯片74LS08①管脚图②功能说明74LS112芯片由四个双端输入与门组成(4)设计方案①通过开关S1实现抢答允许控制,S1闭合时指示灯X6亮,只有S1闭合后选手才可抢答。

②通过74LS112实现锁存,一旦任一名选手按下开关J,对应的指示灯X即点亮并将高电平信号输入指定位置,使其他选手按下指示灯不起作用,达到锁存的目的。

③指示灯X5用于报警,当S1断开且J2、J3、J4、J6中任一个按下时,X5点亮,达到报警功能。

④根据以上功能连接电路并进行模拟仿真。

三、设计电路:用Multisim 11软件进行仿真:说明:J1——复位开关J2、J3、J4、J6——四名选手的抢答按键S1——抢答允许控制键X1、X2、X3、X4——四名选手的抢答指示灯X5——抢答报警灯X6——抢答允许指示灯四、设计总结:1.调试过程中遇到的问题(1)抢答允许控制键由多个与非门、与门、或门组合而成较为复杂。

2015年课程设计-抢答器课程设计-智力竞赛抢答器电路设计(优秀)

2015年课程设计-抢答器课程设计-智力竞赛抢答器电路设计(优秀)

北京理工大学计算机学院电子技术实习与课程设计题目智力竞赛抢答器电路设计学生姓名学号20152325039学院计算机学院专业指导教师张群二O一O年 6 月1日第一章序言智力竞赛抢答计时器是一名的裁判员,它的任务是从若干名竞赛者中确定出最先的抢答者,并要求竞赛者在规定的时间里回答完问题。

第二章设计任务书一、设计题目:智力竞赛抢答计时器二、技术要求:1.设计一个三人参加的智力竞赛抢答计时器。

2.当有某一参赛者首先按下抢答开关键,相应显示灯亮并伴有声响。

此时,抢答器不再接收其他输入信号。

3.电路具有回答问题时间控制功能。

要求回答问题的时间小于100秒(显示为0~99),时间显示采用倒计时的方式。

当达到限定时间时,发出声响以示警告。

三、给定条件及器件1.要求电路主要选用中规模COMS集成电路CC4000系列。

2.电源电压为5~10V。

3.本设计要求在数字电路实验箱上完成。

四、设计内容1. 电路各部门的组成和工作原理。

2. 元器件的选取及其电路图和功能。

3. 电路各部分的调试方法。

4. 在整机电路的设计调试过程中,遇到什么问题,其原因及解决的方法。

第三章电路组成和工作原理根据上面所说的功能要求,智力竞赛抢答计事系统的组成框图如下图所示。

它主要有六部分组成:一、抢答器——智力竞赛抢答器的核心。

当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二极管亮(或者响电路发出声音),与此同时,封锁住其他参赛者的输入信号。

二、抢答控制器———由三个开关组成。

三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。

三、清零装置——供比赛开始前裁判远使用。

它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。

四、显示、声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器就接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。

五、计时、显示、声响电路——是对抢答者回答问题时间进行控制电路。

哈工大电路自主设计实验

哈工大电路自主设计实验

哈工大电路自主设计实验移相电路的设计测试以及李萨如图形的观测学习设计移相器电路的方法了解移相电路中工程中的应用介绍安远相点电路的特点,备考幅频特性与相频特性的测试方法进一步熟识示波器的采用和有关仿真软件multisim的操作方式通过观察李萨例如图形总结图形规律通过设计、搭接、安装及调试移相器,培养工程实践能力2、总体设计方案或技术路线实验开始前先对移相电路幅频、相频特性进行软件仿真,观察仿真结果。

设计一个rc电路移相器,该移相器输出正弦信号,由信号发生器提供更多。

相角受到r,c的值以及输出信号的频率掌控,并且该网络为全通网络,输入电压相对于输出电压的相位差在45°至180°范围内已连续调节器。

设计计算元件值、确定元件,搭接线路、安装及测试输出电压的有效值及相对输入电压的相移范围,用示波器测试网络的幅频特性和相频特性,验证电路的正确性。

分别紧固频率和电容、紧固频率和电阻,发生改变余下变量去观测增益规律。

用示波器观察二端口网络的输入和输出信号的李萨茹图形,通过李萨茹图形测出输入信号的频率和相位差。

最后通过调节频率去发生改变李萨例如图形,并通过观察总结图形规律。

技术路线1、采用x型rc移相电路,确定测试线路图。

1、对电路进行软件仿真,观察幅频相频特性。

3、确认测试仪器及加装移相器所须要器材,构建安远二者电路。

4、测量移相电路频率特性,以及如何通过阻值和电容改变相位的规律。

5、分析测试结果是否符合要求,若不符合,调整电路重新测试。

6、观察李萨如图。

7、通过李萨例如图的观测排序出来输出频率和增益。

8、通过调节频率来观察其他李萨如图形,总结图形规律。

3、实验电路图(a)设计电路图(b)等效4、仪器设备名称、型号交流电压表(as2294d型)-测量电压最高300v-频率5hz~2mhz示波器-测量带宽dc~60mhz-电压峰峰值16mv~40v信号源-频率20mhz-电压7-8v(有效值)电阻-10kω-2只电容-0.1uf-2只电阻箱-0~99999ω电容箱-0~1.111uf导线-若干5、理论分析或仿真分析结果x型rc移相电路输出电压u2为:u2=ucb-udb..r1-jωrc.jωc.=u1-u1=u11+jωrcr+r+jωcjω1∠-2arctanωrcϕ2=-2arctan(ωrc)结果表明,此x型rc安远二者电路的输入电压与输出电压大小成正比,而当信号源角频率一定时,输入电压的增益可以通过发生改变电路的元件参数去调节。

哈工大电路自主实验报告

哈工大电路自主实验报告

①、定理1:如图(a)与(b)所示电路中,N为仅由电阻组成的线性电阻电路, 则有EU S_?U S(a) (b)②、定理2:如图(a)与(b)所示电路中,N为仅由电阻组成的线性电阻电路,则有③、定理3:如图(a)与(b)所示电路中,N为仅由电阻组成的线性电阻电路,则有若兰班级1104102 学号1110410223实验日期 6.20 节次10:00 教师签字成绩实验名称:验证互易定理1. 实验目的(1)、验证互易定理,加深对互易定理的理解;(2)、进一步熟悉仪器的使用。

2. 总体设计方案或技术路线(1 )、实验原理:互易定理:对一个仅含有线性电阻(不含独立源和受控源)的电路(或网络) 产生响应,当激励和响应互换位置时,响应对激励的比值保持不变。

此时,时,响应为短路电流;当激励为电流源时,响应为开路电压。

互易定理存在二种形式:,在单一激励当激励为电压源(b)U2i si2i s(2)、实验方案i 1;电路图一,证明| 2=u〔;电路图二,证明L2=U S=i 1/1 S电路图三,证明L2/(电路图如下)3. 实验电路图各参数分别为:Rl = R3=Rl=R5=100 Q R2=200Q L S=6V I S=50mA 4. 仪器设备名称、型号交直流电路实验箱一台直流电压源0〜30V 一台直流电流源0〜100mA 一台直流电流表0〜400mA 一只数字万用表一只电阻若干5. 理论分析或仿真分析结果6. 详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及阻的记录)(1)、验证定理一,按照图一连好电路后测量12、i 1,将实验数据记录在表格i中;U i将实验数据记录在表格2中; (2)、验证定理二,按照图二连好电路后测量L2i i,将实验数据记录在表格3中。

(3)、验证定理三,按照图三连好电路后测量L27. 实验结论8. 实验中出现的问题及解决对策(1)、问题:实验过程中无200 Q定值电阻;对策:改成两个100Q定值电阻串联;(2)、问题:实验中电流表无示数,后经检查电路发现该实验台电流表被烧坏,对策:换了一台没有问题的直流电流表。

哈工大自主实验设计

哈工大自主实验设计

哈尔滨工业大学电工电子实验教学中心实验报告姓名班级学号台号日期 2012.6.4 节次 1-2,3-4 成绩教师签字倒数计时器设计一、实验目的1.通过电路的创新设计强化对于电子技术知识的理解和掌握。

2.通过自主设计实验,实际操作培养自己的动手能力,锻炼发现问题并自己独立解决问题的能力。

3. 利用现有的仪器设备制作一个倒计时时钟,倒计时过程通过数码管显示,归零后自动停止计时,通过复位后即可正常使用。

二、实验仪器与设备74LS161芯片 3片 74LS112芯片 1片74LS00芯片 4片 74LS20芯片 1片CD4511数码管 3个数字电路实验箱 1台导线、开关若干三、总体设计方案或技术路线本实验所设计的倒数计时器是一个能够实现倒数计时,异步复位,数完即停并由数码管显示的计时器。

总体设计方案是利用161芯片实现60进制以及其他进制的计数器,利用非门将递增计数的数码转换为相反的递减的8421BCD码,并由CD4511数码管进行显示。

倒数完成后利用与非门输出低电平封锁161芯片的P或T端实现保持。

最后利用触发器输入脉冲恢复P和T端,复位后实现正常工作。

1. 计时器及显示部分(以四分钟倒数计时为例)计时电路是用三个74LS161芯片实现的,其中一个74LS161芯片接成10进制电路,用于秒表读数的‘秒’的个位部分的计时,第二个74LS161芯片接成六进制,用于秒表读数的‘秒’的十位部分的计时,第三个74LS161芯片接成四进制(以四分钟倒数计时为例),用于秒表读数的‘分’的个位部分的计时。

前两个芯片组成60进制计数器,两个芯片输出状态实现一次完整的循环刚好代表了1分钟,因此每1分钟,就向第三个计数器输送一个进位,这样第三个芯片就能在其后接电路里实现进位计数的功能,一个循环就是4分钟。

此方案中计数器采用反馈预置法,目的在于利用非门对递增进位的8421BCD码取反,实现递减功能并通过CD4511数码管实现倒数计时的功能。

哈工大电工实验自主设计实验报告抢答器-计时器设计电路

哈工大电工实验自主设计实验报告抢答器-计时器设计电路

姓名班级学号实验日期节次教师签字成绩实验名称抢答器-计时器设计电路1.实验目的(1)通过实验巩固和加强对书本知识的掌握;(2)提高自己的创新意识;(3)培养动手能力和独立设计并解决问题的能力;(4)利用芯片的多种功能以实现四路抢答的功能、计时功能。

2.总体设计方案或技术路线4人抢答器:用与非门构成的4人抢答电路,4个数据开关S1-S4由四位抢答者控制,无人抢答时,开关处于0状态,对应的与非门(74LS20)输出均为1,对其余的三个与非门无影响;当其中任意一位抢答者将开关扳向1时,对应的与非门输出为0电平,将其余的3个与非门锁死,令其开关输入1时不起作用,实现锁存功能。

再经过一与非门将输出结果通过指示灯显示出来。

1分钟计时电路:本电路由主持人控制,当4人抢答器确定答题选手后主持人按下开关S1A 将计时电路接通,开始计时。

本电路由555定时器产生秒脉冲,经放大电路对其输出的电平进行10倍放大,放大器输出电平作为控制计时器秒个位的74LS161的输入脉冲。

2个74LS161构成60进制计数器,并连接DCD数码管进行数字显示。

时间到达60秒后蜂鸣器报警。

3.实验电路图图1 4人抢答器4. 仪器设备名称、型号2个74LS00;2个74LS20;1个555定时器;1个双集成运算放大器LM358;2个74LS161;1个74LS08;1个5V 蜂鸣器;2个DCD 数码管5.理论分析或仿真分析结果4人抢答器:4人抢答器的主体是74LS20。

四名选手通过各自的开关答题,答题信号作为输入,输入到74ls20的一个端口。

其余三个端口由除本身以外的另外三个74LS20的输出端接入。

无人抢答时,开关处于0状态,对应的与非门(74LS20)输出均为1,对其余的三个与非门无影响;当其中任意一位抢答者将开关扳向1时,对应的与非门输出为0电平,将其余的3个与非门锁死,令其开关输入1时不起作用,从而实现锁存功能。

74LS00的两个输入端口分别接高电平(或悬空)、接对应74LS20的输出端,将抢答结果以高、低电平的形式输出,再通过接一个指示灯对抢答结果进行显示。

电工电子创新实验报告-四路抢答器设计

电工电子创新实验报告-四路抢答器设计

一、设计题目:四路抢答器设计二、技术指导和技术要求:用集成芯片设计并制作简易四路抢答器,具体要求如下:1.每个参加者控制一个按钮,用按动按钮发出抢答信号;2.抢答组数分为四组,即序号A、B、C、D,对应数字编码1、2、3、4,优先抢答者按动本组序号开关,该组对应数字编码立即锁存到LED显示器上,同时封锁其他组号,并有蜂鸣器声音提示。

此后其他3人再按动按钮对电路不起作用;3.竞赛主持人另有一个按钮,用于将电路复位。

三、选择总体方案,确定电路1、提出电路的方案方案(1):如图1所示为总体方框图。

其工作原理为:通电源后,抢答器处于工作状态,编号显示器灯灭,主持人宣布“开始”,选手开始抢答,抢答器完成:优先判断、编号锁存、编号显示。

当一轮抢答之后,禁止二次抢答。

如果再次抢答必须由主持人操作“复位”开关。

图1 电路原理框图方案(2):下面是用74LS175、74LS00、74LS20得到的4路抢答器的电路,如图2。

这个电路只是通过发光二极管来显示其结果,没有达到按照选手的号码来显示数字,并且其电路抢答没有声音,所要求集成块个数跟方案(1)相当,但实现功能稍逊方案(1)。

2、方案的选择与论证比较方案(1)和方案(2),发现方案(1)需要元器件数量稍微多一点,但所需元件都是比较简易,电路不是很复杂,并且所显示的效果比方案(2)要多,达到了实验的要求。

而方案(2)不能有效达到设计指标(没有声音、无数字显示),所以选择方案(1)。

图2 方案(2)电路图四、单元电路推导和电路元器件参数计算(1)优先锁存、门控电路:CD4511具有锁存、译码、消隐功能,通常以反相器作输出级,通常用以驱动LED,其引脚图和功能表如图3。

图3 CD4511的引脚图和功能表门控电路用来实现允许抢答和清零复位的功能,由一个开关R连入电路实现。

分析CD4511的功能表可知,给CD4511的端口LE加高电平就能实现这一锁存功能。

在抢答的准备阶段,主持人按下开关R后,CD4001 RS触发器输出端4号端口输出低电平,即CD4511的LE端口为低电平,4511处于消隐工作状态,LED数码管不显示;当第一个在抢答键按下时,5V电源通过二极管D3、D4、D5或者D6加至CD4001RS触发器S端,即1号脚输入高电平,其输出端4号脚变为高电平输出,即给LE一个高电平,锁存此时的编号,同时数码管显示相应的编号。

哈工大电路自主设计实验

哈工大电路自主设计实验

哈工大电路自主设计实验(总10页)--本页仅作为文档封面,使用时请直接删除即可----内页可以根据需求调整合适字体及大小--姓名 班级 学号 实验日期 节次 教师签字 成绩影响RLC 带阻滤波器性能参数的因素的研究与验证1.实验目的(1)学习带阻滤波器的设计方法 (2)测量RLC 带阻滤波器幅频特性曲线(3)研究电阻、电容和品质因素Q 对滤波器性能的影响 (4)加深对滤波器滤波概念的理解 2.总体设计方案或技术路线(1)理论推导,了解滤波器的主要性能参数及与滤波器性能有关的因素 (2)设计RLC 带阻滤波器电路图 (3)研究电阻R 对于滤波器参数的影响 (4)研究电容C 对于滤波器参数的影响 (5)研究电感L 对于滤波器参数的影响(6)合理设计实验测量,结合电容C 和电感L 对滤波器参数的影响 (7)将实际测量结果与理论推导作对比,并分析实验结果3.实验电路图R1V-V+4.仪器设备名称、型号函数信号发生器 1台FLUKE190-104数字便携式示波表 1台十进制电阻箱 1只十进制电容箱 1只十进制电感箱 1只5.理论分析或仿真分析结果带阻滤波器是指能通过大多数频率分量、但将某些范围的频率分量衰减到极低水平的滤波器,与带通滤波器的概念相对。

理想带阻滤波器在阻带内的增益为零。

带阻滤波器的中心频率f o,品质因素Q和抑制带宽BW之间的关系为仿真结果:R=2000Ω C= L=R=500Ω C= L=R=2000Ω C= L=R=2000Ω C= L=R=2000Ω C= L=改变R时对比图改变C时对比图改变L时对比图6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)(1)电阻R对于滤波器参数的影响任务1:电路如图所示,其中信号源输出Us=5V,电容C=,电感L=,根据R= 2000f/kHzfc1=fo=4fc2=57 U/VR= 1500f/kHz1fc1=fo=4fc2=57 U/VR=50f/kHz3fc1= fo= fc2= 47U/V5根据所测数据在下图画出三条对应的幅频特性曲线,并根据图中实验结果进行分析分析结果:由图看出,当R变化,L C不变时,中心频率不变,但是阻带宽度随着R变大而变大。

抢答器--数电设计报告(很详细完整的报告)

抢答器--数电设计报告(很详细完整的报告)

四人智力竞赛抢答器一、设计内容及要求1、设计内容设计一台供4名选手参加比赛的数字抢答器。

2、设计要求(1)抢答器同时供4名选手比赛,分别用4个按钮S0 ~ S3表示。

(2)设置一个复位和抢答控制开关S,该开关由主持人控制。

(3)抢答器具有锁存与显示功能。

即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。

选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。

(4)抢答器具有定时抢答功能。

当主持人启动“开始”键后,定时器进行倒计时,同时扬声器发出短暂的声响。

(5)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

(6)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示0。

二、抢答器设计方案1、设计方案抢答器具有锁存、定时、显示和报警功能。

即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用LED数码管把选手的编码显示出来,并且开始抢答时间的倒计时,同时用LED数码管把选手的所剩抢答时间显示出来。

而在选手按键抢答以及抢答时间倒计时到时的时候都有报警以提醒主持人和选手。

抢答时间设定9秒,报警响声持续1秒。

接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始”状态,宣布“开始”抢答器工作。

定时器倒计时,扬声器给出声响提示。

选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。

当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。

如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。

2、系统框图当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。

报警电路给出声音提示。

当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。

【VIP专享】哈工大电工自主设计实验

【VIP专享】哈工大电工自主设计实验

(6)实验结论
1.应用74LS04、74LS74、74LS08等元件可以实现两位数密码的设置,在生活中具有广泛应用。

(7)对实验的改进及优化
应该在实验的基础上加上一个清零开关。

此密码器设计比较简单,输入正确的密码前,小灯保持不亮,表明输入密码错误。

但输入正确的密码后,小灯一直保持亮的状态,所以在后续的设计优化过程中考虑增加一个清零开关。

(8)本次实验的收获和体会、对电路实验室的意见或建议
通过实验我了解了74LS138、74LS00、74LS20等元件的逻辑功能和使用方法,同时,通过实验,更加熟悉了组合逻辑电路的分析和设计方法。

在进行组合逻辑电路的设计时,应首先将给定的逻辑问题抽象成逻辑函数,列出其真值表,再根据真值表写出逻辑函数式并对其进行化简变换,最终根据化简变换后的逻辑函数式画出逻辑电路图。

参考文献
[1]杨世彦.电工学电子技术.机械工业出版社.2008
[2]邹其洪.电工电子实验与计算机仿真.电子工业出版社.2008。

哈工大电子技术实验自主设计实验:0—959秒计时器的设计

哈工大电子技术实验自主设计实验:0—959秒计时器的设计

姓名班级学号实验日期节次教师签字成绩实验名称0—9:59秒计时器的设计1.实验目的进一步熟悉仪器仪表的使用;设计一个0—9:59秒计时器2.总体设计方案或技术路线本次设计的计时器有三位显示数字,故而需要将低位进位能输入到高位。

整体电路由3个74LS161构成的按自然态序变化的十进制计数器及六进制计数器组成,外接LED数码显示器以显示数字。

电路所需脉冲可由函数信号发生器提供,在主线上加入一个开关以实现计时器的暂停与继续。

3.实验电路图4. 仪器设备名称、型号直流稳压电源、数字万用表、试验箱、电子版、芯片:74LS00、74LS161 DSO-X2002A示波器/函数信号发生器、导线5.理论分析或仿真分析结果第三位计数器仿真结果与第一位相同。

2)对进位处(如下图)进行测试:第一处进位处(电路图右端如上图所示部分)结果如下由上表可知当计到10时,1处显示高电平,即产生进位脉冲,实现进位。

但由于是反馈预置法十进制计数器,态序“10”只出现一瞬间,在LED显示上是无效的,但可以产生瞬间信号,实现进位。

(注:态序“10”状态未用仿真软件测得,系理论推得)对第二处进位处(电路图左端如上图所示部分)结果如下由上表可知当计到6时,1处显示高电平,即产生进位脉冲,实现进位。

但由于是反馈预置法六进制计数器,态序“6”只出现一瞬间,在LED显示上是无效的,但可以产生瞬间信号,实现进位。

(注:态序“6”状态未用仿真软件测得,系理论推得)综上,可以实现所设计要求。

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)实验步骤:1)分析电路图,选取所需芯片,准备好所用仪器,准备实验2)按电路图连接电路3)检查是否有错连、漏连的线,检查无误后开启电源,进行实验4)调整实验参数,记录实验数据5)整理实验器材,结束实验实验数据:1)基本功能测试(第一/二/三位计数器)2)进位功能测试3)计时功能测试4)仪器数据记录7.实验结论通过实验,可以达成预期目标。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
9.本次实验的收获和体会、对电路实验室的意见或建议
从最开始对实验设计的无从下手,到有了初步的设计想法,再通过Multisim软件进行电路的完善以及仿真,最后完成实验,这一系列的过程让我受益匪浅。通过这次的实验,不仅加深了我对同相比例放大器、74LS194以及555定时器的理解,还提升了我的设计能力、动手能力、以及随机应变解决问题的能力。尽管在设计实验的过程中,存在着一些让人苦思冥想也想不出来的时候,以及仿真总是出现问题,让人很是着急的时候,但是当仿真成功以及实验成功是,那份喜悦和兴奋时无法比拟的。总之,此次实验我受益颇多。
对电路实验室我有一点建议:希望老师能够对实验设备进行定时的检查,防止因为实验设备的损坏对同学的实验造成影响。
10.参考文献
[1]杨世彦.电工学(中册)电子技术.北京:机械工业出版社,2008:238-240.
[2]王宇红.电工学实验教程.北京:机械工业出版社,2013:108-118
④图五所示电路可以实现两组4个灯,自左向右顺序点亮后顺序熄灭,改变数据开关D3D2D1D0的预置值,可以实现此变换状态下的任意花型;
⑤图六所示电路可以实现8个灯,自左向右顺序点亮后熄灭,改变数据开关D3D2D1D0的预置值,可以实现此变换状态下的任意花型;
⑥图七所示电路可以实现8个灯,自右向左顺序点亮后熄灭,改变数据开关D3D2D1D0的预置值,可以实现此变换状态下的任意花型;
7.实验结论
(1) ;由于555定时器构成的多谐振荡器的频率过低,无法使用示波器进行观测,但通过比较实验箱上1HZ频率,可确定f=1HZ,即f=fo;
(2)①图二所示电路可以实现两组4个灯,自左向右顺序点亮后顺序熄灭;
②图三所示电路可以实现8个灯,自左向右顺序点亮后熄灭;
③图四所示电路可以实现8个灯亮灭间隔变换的花型,改变数据开关D3D2D1D0的预置值,可以实现此变换状态下的任意花型;
(3)用555定时器构成的多谢振荡电路,经集成放大器放大后,向灯的控制电路提供触发信号,从而实现不同花型的灯光效果;
3.仪器设备名称、型号和技术指标(1来自实验箱;(2)双踪示波器;
(3)双路直流稳压电源;
(4)电阻:560KΩ,一个;430KΩ,一个;820Ω,一个;5KΩ,一个;1KΩ,一个;
(5)电容:0.1μF,一个;0.01μF,一个;
(3)通过对两74LS194芯片的不同连接方法,可以实现多种8盏灯等花型,以此可以类推出,N个74LS194芯片可以实现2N盏灯的任意花型,也可以实现对多组的2N盏灯的控制。
8.实验中出现的问题及解决对策
(1)在连接实验箱电源时,无法对实验箱供电,经检查实验箱接触不良,后更换实验箱,问题解决。
(2)由于示波器无法显示1HZ左右的低频信号,无法检测实验频率f,后与实验箱上的1HZ信号进行比较后得出,f=1HZ;
(6)74LS194,2个;
(7)74LS00,2个;
(8)导线若干
4.实验电路图
(1)555定时器构成的多谐振荡器
图一
(2)八盏灯的控制电路:
图二
图三
(3)总电路
图四
图五
图六
图七
5实验步骤
(1)连接图一所示电路图,用示波器观察其波形,记录频率f,计算理论频率
,比较f与fo;
(2)连接图二所示电路图,并观察八盏灯的花型变换。
(6)连接图六所示电路图,并观察八盏灯的花型变换。再将下方74LS194的数据开关预置为D3D2D1D0=0010(D3D2D1D0可随意预置),S1S0=11;然后重新设置S1S0=01,使电路处于左移状态,观察八盏灯的变换。
(7)连接图七所示电路图,并观察八盏灯的花型变换。再将上方74LS194的数据开关预置为D3D2D1D0=0010(D3D2D1D0可随意预置),S1S0=11;然后重新设置S1S0=10,使电路处于左移状态,观察八盏灯的变换。
姓名班级学号
实验日期节次教师签字成绩
八盏灯的控制电路设计
1.实验目的
(1)熟悉555定时器及74LS194的原理、使用;
(2)通过555定时器及74LS194,完成对八盏灯的控制电路;
2.总体设计方案或技术路线
(1)以555定时器构成多谐振荡电路;
(2)以74LS194及74LS00构成八盏灯的控制电路;
(3)连接图三所示电路图,并观察八盏灯的花型变换。
(4)连接图四所示电路图,并观察八盏灯的花型变换。再将两74LS194的数据开关预置为D3D2D1D0=0010(D3D2D1D0可随意预置),S1S0=11;然后重新设置S1S0=10,使电路处于左移状态,观察八盏灯的花型变换。
(5)连接图五所示电路图,并观察八盏灯的花型变换。再将两74LS194的数据开关预置为D3D2D1D0=0010(D3D2D1D0可随意预置),S1S0=11;然后重新设置S1S0=01,使电路处于左移状态,观察八盏灯的花型变换。
相关文档
最新文档