Verilog代码覆盖率检查

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Verilog代码覆盖率检查

 对于复杂的设计来说,Verilog代码覆盖率检查是检查验证工作是否完全的重要方法,代码覆盖率(codecoverge)可以指示Verilog代码描述的功能有多少在仿真过程中被验证过了,代码覆盖率分析包括以下分析内容。

 1、语句覆盖率(statementcoverge),又称为声明覆盖率,用于分析每个声明在验证过程中执行的次数。例如:

 always@(areq0orareq1)

 begin

 gnt0=0;//声明

 if(areq0==1)

 gnt=1;//声明2

 end

相关文档
最新文档