verilog_数字系统设计教程_夏宇闻第二版答案

合集下载

verilog数字系统设计教程习题答案

verilog数字系统设计教程习题答案

verilog 数字系统设计教程习题答案第二章HDL 既是一种行为描述语言,也是一种结构描述语言。

如果按照一定的规则和风格编写代码,就可以将功能行为模块通过工具自动转化为门级互联的结构模块。

这意味着利用Verilog 语言所提供的功能,就可以构造一个模块间的清晰结构来描述复杂的大型设计,并对所需的逻辑电路进行严格的设计。

2.模块的基本结构由关键词module和endmodule构成。

3.一个复杂电路系统的完整Verilog HDL 模型是由若干个VerilogHDL模块构成的,每一个模块又可以由若干个子模块构成。

其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。

利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。

HDL和VHDL乍为描述硬件电路设计的语言,其共同的特点在于:能形式化地抽象表示电路的结构和行为、支持逻辑设计中层次与领域的描述、可借用高级语言的精巧结构来简化电路的描述、具有电路仿真与验证机制以保证设计的正确性、支持电路描述由高层到低层的综合转换、硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去)、便于文档管理、易于理解和设计重用。

5.不是6.将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

7.综合工具可以把HDL变成门级网表。

这方面Synopsys工具占有较大的优势,它的Design Compile 是作为一个综合的工业标准,它还有另外一个产品叫Behavior Compiler ,可以提供更高级的综合。

另外最近美国又出了一个软件叫Ambit ,据说比Synopsys 的软件更有效,可以综合50万门的电路,速度更快。

今年初Ambit 被Cadence 公司收购,为此Cade nee放弃了它原来的综合软件Syn ergy。

verilog数字系统设计教程习题答案

verilog数字系统设计教程习题答案

verilog数字系统设计教程习题答案第二章1.Verilog HDL 既是一种行为描述语言,也是一种结构描述语言。

如果按照一定的规则和风格编写代码,就可以将功能行为模块通过工具自动转化为门级互联的结构模块。

这意味着利用Verilog语言所提供的功能,就可以构造一个模块间的清晰结构来描述复杂的大型设计,并对所需的逻辑电路进行严格的设计。

2.模块的基本结构由关键词module和endmodule构成。

3.一个复杂电路系统的完整Verilog HDL模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。

其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。

利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。

4.Verilog HDL和VHDL作为描述硬件电路设计的语言,其共同的特点在于:能形式化地抽象表示电路的结构和行为、支持逻辑设计中层次与领域的描述、可借用高级语言的精巧结构来简化电路的描述、具有电路仿真与验证机制以保证设计的正确性、支持电路描述由高层到低层的综合转换、硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去)、便于文档管理、易于理解和设计重用。

5.不是6.将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

7.综合工具可以把HDL变成门级网表。

这方面Synopsys工具占有较大的优势,它的Design Compile是作为一个综合的工业标准,它还有另外一个产品叫Behavior Compiler,可以提供更高级的综合。

另外最近美国又出了一个软件叫Ambit,据说比Synopsys的软件更有效,可以综合50万门的电路,速度更快。

今年初Ambit被Cadence公司收购,为此Cadence 放弃了它原来的综合软件Synergy。

Verilog HDL数字设计与综合(第二版) 第九章课后习题答案

Verilog HDL数字设计与综合(第二版) 第九章课后习题答案

1.使用assign和deassign语句,设计一个带异步clear (q = 0)和preset (q = 1)端口的由上升沿触发的D触发器。

答:代码如下:module my_dff(q,d,clock,clear,preset);output q;input d,clock,clear,preset;reg q;always @(posedge clock)beginq=d;endalways @(clear or preset)if(clear)assign q=1'b0;else if (preset)assign q=1'b1;elsedeassign q;endmodule仿真输出如下:2.使用基本逻辑门设计一个一位全加器FA。

在激励模块中调用这个全加器。

在15至35个时间单位之间强迫输出值sum为a & b & c_in。

答:代码及激励模块见chapter9.v。

仿真输出如下,在15ns时,输出变化,在35ns时,由于和值与强迫输出值相同,故没有变化。

Verilog HDL 数字设计与综合(第二版)126 3.由逻辑门定义的带延迟参数的一位全加器FA ,如下面的模块所示:定义一个如例5.8所示的四位全加器fulladd4,使用本书中讨论的两种方法,传送下表所示的参数值给所引用的实例:实 例 延 迟 值 fa0 d_sum = 1, d_cout = 1 fa1 d_sum = 2, d_cout = 2 fa2 d_sum = 3, d_cout = 3 fa3d_sum = 4, d_cout = 4a .编写fulladd4模块,用defparam 语句改变实例参数的值。

使用例5.9中的激励文件对这个四位全加器进行仿真。

解释全加器的结果延迟,什么时候出现加法器的输出(在该激励文件中使用20作为延迟值,而不是用5)。

b .编写fulladd4模块,把延迟值传送到调用的实例fa0,fa1,fa2和fa3中。

《verilog_数字系统设计课程》(第二版)思考题答案

《verilog_数字系统设计课程》(第二版)思考题答案

绪论1.什么是信号处理电路?它通常由哪两大部分组成?信号处理电路是进行一些复杂的数字运算和数据处理,并且又有实时响应要求的电路。

它通常有高速数据通道接口和高速算法电路两大部分组成。

2.为什么要设计专用的信号处理电路?因为有的数字信号处理对时间的要求非常苛刻,以至于用高速的通用处理器也无法在规定的时间内完成必要的运算。

通用微处理器芯片是为一般目的而设计的,运算的步骤必须通过程序编译后生成的机器码指令加载到存储器中,然后在微处理器芯片控制下,按时钟的节拍,逐条取出指令分析指令和执行指令,直到程序的结束。

微处理器芯片中的内部总线和运算部件也是为通用目的而设计,即使是专为信号处理而设计的通用微处理器,因为它的通用性也不可能为某一特殊的算法来设计一系列的专用的运算电路而且其内部总线的宽度也不能随便的改变,只有通过改变程序,才能实现这个特殊的算法,因而其算法速度也受到限制所以要设计专用的信号处理电路。

3.什么是实时处理系统?实时处理系统是具有实时响应的处理系统。

4.为什么要用硬件描述语言来设计复杂的算法逻辑电路?因为现代复杂数字逻辑系统的设计都是借助于EDA工具完成的,无论电路系统的仿真和综合都需要掌握硬件描述语言。

5.能不能完全用C语言来代替硬件描述语言进行算法逻辑电路的设计?不能,因为基础算法的描述和验证通常用C语言来做。

如果要设计一个专用的电路来进行这种对速度有要求的实时数据处理,除了以上C语言外,还须编写硬件描述语言程序进行仿真以便从电路结构上保证算法能在规定的时间内完成,并能通过与前端和后端的设备接口正确无误地交换数据。

6.为什么在算法逻辑电路的设计中需要用C语言和硬件描述语言配合使用来提高设计效率?首先C语言很灵活,查错功能强,还可以通过PLI编写自己的系统任务,并直接与硬件仿真器结合使用。

C语言是目前世界上应用最为广泛的一种编程语言,因而C程序的设计环境比Verilog HDL更完整,此外,C语言有可靠地编译环境,语法完备,缺陷缺少,应用于许多的领域。

Verilog教程-夏宇闻(共178张)

Verilog教程-夏宇闻(共178张)
13
第13页,共178页。
3.2 Verilog HDL基本(jīběn)结构
二、Verilog HDL模块的结构
▪ Verilog的基本设计单元是“模块 (block) ” 。 ▪ Verilog 模块的结构由在module和endmodule关键词
之间的4个主要部分组成:
1 端口定义 2 I/O说明
(3)用 “always” 块语句 结构说明语句
always @(posedge clk) // 每当时钟上升沿到来时执行一遍块内语句
begin
if(load)
out = data; // 同步预置数据 else
out = data + 1 + cin; // 加1计数
end
❖注1:“always” 块语句常用于描述时序逻辑,也可 描述组合逻辑。
3.12 不同抽象级别的Verilog HDL模型
1
3.13 设计技巧
第1页,共178页。
引言 3.1
(yǐnyán)
内容概要
一、什么是Verilog HDL 二、Verilog HDL的发展历史 三、不同层次的Verilog HDL抽象
四、Verilog HDL的特点
2
第2页,共178页。
3.1 引言(yǐnyán)
❖ Verilog HDL模块的模板(仅考虑用于逻辑综合的部分)
module <顶层模块名> (< 输入输出端口列表>) ;
output 输出端口列表;
input 输入端口列表;
//(1)使用assign语句定义逻辑功能
wire 结果信号名;
assign <结果信号名> = 表达式 ;

Verilog HDL 数字设计与综合 夏宇闻 课后习题答案 章

Verilog HDL 数字设计与综合 夏宇闻 课后习题答案 章
答:module MEM; endmodule
module SC; endmodule
module Xbar; endmodule
module IS; MEM mem1; SC sc1; Xbar xbar1; endmodule
module TOP; IS is1; endmodule
2.一个四位脉动进位加法器由 4 个一位全加器组成。 a.定义模块 FA。不需要定义模块内容和端口列表。 b.定义模块 Ripple_Add,不需要定义模块内容和端口列表。在模块中调用 4 个 FA 类型的全 加器,把它们分别命名为 fa0,fa1,fa2 和 fa3。
数 3;
c.一个名为 count 的整数;
d.一个名为 snap_shot 的时间变量;
e.一个名为 delays 的数组,该数组中包含 20 个 integer 类型的元素;
f.含有 256 个字的存储器 MEM,每个字的字长为 64 位;
g.一个值为 512 的参数 cache_size。
答:定义如下:
endmodule
module test52; reg x,y; wire z;
initial beຫໍສະໝຸດ inx<=0;y<=0; #10 x<=0;y<=1; #10 x<=1;y<=0; #10 x<=1;y<=1; #10 $stop; end
my_xor myxor(x,y,z);
endmodule
a.system1 b.1reg c.$latch d.exec$
42
Verilog HDL 数字设计与综合(第二版)
答:a 是合法的;b、c 不能以 1 和$开头;d 是合法的。

Verilog-数字系统设计教程-夏宇闻

Verilog-数字系统设计教程-夏宇闻
4)
实时数字信号 处理系统实现中存在的技术难点
和解决办法
电路实现的两个方向:
专用集成电路
实时数字信号 处理系统实现中存在的技术难点
和解决办法
用于信号处理的 和专用集成 电路()设计的方法:
建模、仿真、综合和 全面验证。
为什么要研究复杂的数字 逻辑系统?
什么是复杂的数字逻辑系统?
• 嵌入式微处理机系统 • 数字信号处理系统 • 高速并行计算逻辑 • 高速通信协议电路 • 高速编码/解码、加密/解密电路 • 复杂的多功能智能接口 • 门逻辑总数超过几万门达到几百甚至达几千 • 万门的数字系统
数字系统设计教程
建模、仿真、综合、验证和实现
北京航空航天大学 夏宇闻 2004年版
课时安排和学习方法
• 十次讲课每次2小时; • 五次实验每次4小时; • 一次上机实验考核加面试共4小时; • 课堂 20+20+4=44小时,自己看书40小时
共计84小时; • 理论与实践结合的学习方法; • 考核方法:认真听课20%;下课复习
间内完成。

数字信号处理系统的实现
• 非实时系统: • 通用的计算机和利用通用计算机改装的设备,主
要工作量是编写 “C” 程序。输入/输出数据大多 为文本 。
• 实时系统: • 信号处理专用的微处理器为核心的设备,主要工
作量是编写汇编程序。输入/输出数据大多为数据 流,直接用于控制 。

实时数字信号 处理系统实现中存在的技术难点
数字信号处理的基本概念
• 现代电子系统设备中广泛使用了数字信号处理 专 用集成电路。
• 用于数字信号传输中所必需的滤波、变换、加密、 解密、编码、解码、纠检错、压缩、解压缩等操 作。

VerilogHDL数字设计与综合(第二版)第七章课后习题答案(最新整理)

VerilogHDL数字设计与综合(第二版)第七章课后习题答案(最新整理)

1.声明一个名为oscillate 的寄存器变量并将它初始化为0。

使其每30 个时间单位进行一次取反操作。

不要使用always 语句。

提示:使用forever 循环。

答:代码如下:reg oscillate;initialbeginoscillate<=0;forever #30 oscillate<=~oscillate;end2.设计一个周期为40 个时间单位的时钟信号,其占空比为25%。

使用always 和initial 块进行设计。

将其在仿真0 时刻的值初始化为0。

答:代码如下:reg clock;initialclock<=0;alwaysbegin#30 clock<=1;#10 clock<=0;end3.给定下面含有阻塞过程赋值语句的initial 块。

每条语句在什么仿真时刻开始执行?a,b,c和d 在仿真过程中的中间值和仿真结束时的值是什么?答:仿真输出结果:4.在第3 题中,如果initial 块中包括的是非阻塞过程赋值语句,那么各个问题的答案是什么?答:7第7 章行为级建模9最终d 没有输出,因为d<= #20 {a,b,c};语句执行的是先将a,b,c 取值存储,在20 个时间单位后将存储的值赋给d,因为b,c 在初始时刻没有值,所以d 值也是不确定的。

5.指出在下面的Verilog 代码中各条语句的执行顺序。

其中是否含有不确定的执行顺序?a,b,c和d 的最终值是什么?答:先执行a=1’b0,b=1’b1,然后执行#0 c=b,#0 d=a,执行顺序不确定,最终输出结果a=0,b=1,c=1,d=0.6.在下面的例子中,d 的最终值是什么?答:仿真输出波形如下,最终输出结果d=1。

0 时刻b|c 的值是1,在25 个时间刻度后赋给d。

7.使用带有同步清零端的D 触发器(清零端高电平有效,在时钟下降沿执行清零操作)设计一个下降沿触发的D 触发器,只能使用行为语句。

Verilog HDL 夏宇闻 2--语法

Verilog HDL 夏宇闻 2--语法
• 提供了条件、if-else、case、循环程序结构。
• 提供了可带参数且非零延续时间的任务(task)程序结 构。
• 提供了可定义新的操作符的函数结构(function)。
Verilog 的应用
提供了用于建立表达式的算术运算符、逻辑运算符、 位运算符。
Verilog HDL语言作为一种结构化的语言也非常适 合于门级和开关级的模型设计。 Verilog HDL的构造性语句可以精确地建立信号的 模型。这是因为在Verilog HDL中,提供了延迟和输出 强度的原语来建立精确程度很高的信号模型。信号值 可以有不同的的强度,可以通过设定宽范围的模糊值 来降低不确定条件的影响。
Verilog 的应用
Verilog HDL作为一种高级的硬件描述编程语言,有 着类似C语言的风格。其中有许多语句如:if语句、 case语句等和C语言中的对应语句十分相似。如果读者 已经掌握C语言编程的基础,那么学习 Verilog HDL并 不困难,我们只要对Verilog HDL某些语句的特殊方面 着重理解,并加强上机练习就能很好地掌握它,利用 它的强大功能来设计复杂的数字逻辑电路。下面我们 将对Verilog HDL中的基本语法逐一加以介绍。
第二部分:Verilog 的应用
目的: 了解用HDL语言设计数字逻辑的优点 了解Verilog 主要应用领域 了解Verilog 的发展历史 了解电路系统的不同层次的Verilog抽象
Verilog 的应用
Verilog HDL是一种用于数字逻辑电路设计的语言:
- 用Verilog HDL描述的电路设计就是该电路
模块的测试
被测模块
激励和控 制信号
输出响应 和验证
模块的测试
测试模块常见的形式:

VerilogHDL数字设计与综合(第二版)第七章课后习题答案

VerilogHDL数字设计与综合(第二版)第七章课后习题答案

VerilogHDL数字设计与综合(第⼆版)第七章课后习题答案1.声明⼀个名为oscillate的寄存器变量并将它初始化为0。

使其每30个时间单位进⾏⼀次取反操作。

不要使⽤always语句。

提⽰:使⽤forever循环。

答:代码如下:reg oscillate;initialbeginoscillate<=0;forever #30 oscillate<=~oscillate;end2.设计⼀个周期为40个时间单位的时钟信号,其占空⽐为25%。

使⽤always和initial块进⾏设计。

将其在仿真0时刻的值初始化为0。

答:代码如下:reg clock;initialclock<=0;alwaysbegin#30 clock<=1;#10 clock<=0;end3.给定下⾯含有阻塞过程赋值语句的initial块。

每条语句在什么仿真时刻开始执⾏?a,b,c 和d在仿真过程中的中间值和仿真结束时的值是什么?答:仿真输出结果:4.在第3题中,如果initial块中包括的是⾮阻塞过程赋值语句,那么各个问题的答案是什么?答:第7章⾏为级建模79最终d没有输出,因为d<= #20 {a,b,c};语句执⾏的是先将a,b,c取值存储,在20个时间单位后将存储的值赋给d,因为b,c在初始时刻没有值,所以d值也是不确定的。

5.指出在下⾯的Verilog代码中各条语句的执⾏顺序。

其中是否含有不确定的执⾏顺序?a,b,c和d的最终值是什么?答:先执⾏a=1’b0,b=1’b1,然后执⾏#0 c=b,#0 d=a,执⾏顺序不确定,最终输出结果a=0,b=1,c=1,d=0. 6.在下⾯的例⼦中,d的最终值是什么?答:仿真输出波形如下,最终输出结果d=1。

0时刻b|c的值是1,在25个时间刻度后赋给d。

7.使⽤带有同步清零端的D触发器(清零端⾼电平有效,在时钟下降沿执⾏清零操作)设计⼀个下降沿触发的D触发器,只能使⽤⾏为语句。

Verilog HDL复杂数字系统设计(夏宇闻)

Verilog HDL复杂数字系统设计(夏宇闻)
2013-7-28 南通大学电子信息学院 25
分析:
例2-1a和例2-1 b模块属于行为描述,
例2-2 a例2-1 b模块属于门级描述。
通过综合,行为描述的模块可转化
为门级描述的模块。
2013-7-28
南通大学电子信息学院
26
例2-3 加法器 module adder(count, sum, a, b, cin); input [2:0] a, b; input cin; output count; output [2:0] sum; assign {count,sum}=a+b+cin; endmodule 说明: 求a 、 b、 cin 的和sum,count为进位。
1.3.2 传统的设计方法



查用器件手册; 选用合适的微处理器和电路芯片; 设计面包板和线路板; 调试; 定型; 设计复杂的数字系统(几十万门以上) 极其困难!
2013-7-28
南通大学电子信息学院
9
1.3.3 Verilog HDL设计法


硬件描述语言
2013-7-28
南通大学电子信息学院
1
第一部分 Verilog HDL入门
2013-7-28
南通大学电子信息学院
2
第1章Verilog复杂数字设计综述
1.1 数字电子系统CAD技术的发展
三个阶段: (1)20世纪60年代—80年代初期 CAD (Computer Aided Design)阶段 (2)20世纪80年代初期—90年代初期 CAE(Computer Aided Engineering)阶段 (3)20世纪90年代以来 EDA(Electronic Design Automation )阶段

VerilogHDL数字设计与综合(第二版)第七章课后习题答案(可编辑修改word版)

VerilogHDL数字设计与综合(第二版)第七章课后习题答案(可编辑修改word版)

1.声明一个名为oscillate 的寄存器变量并将它初始化为0。

使其每30 个时间单位进行一次取反操作。

不要使用always 语句。

提示:使用forever 循环。

答:代码如下:reg oscillate;initialbeginoscillate<=0;forever #30 oscillate<=~oscillate;end2.设计一个周期为40 个时间单位的时钟信号,其占空比为25%。

使用always 和initial 块进行设计。

将其在仿真0 时刻的值初始化为0。

答:代码如下:reg clock;initialclock<=0;alwaysbegin#30 clock<=1;#10 clock<=0;end3.给定下面含有阻塞过程赋值语句的initial 块。

每条语句在什么仿真时刻开始执行?a,b,c和d 在仿真过程中的中间值和仿真结束时的值是什么?答:仿真输出结果:4.在第3 题中,如果initial 块中包括的是非阻塞过程赋值语句,那么各个问题的答案是什么?答:7第7 章行为级建模9最终d 没有输出,因为d<= #20 {a,b,c};语句执行的是先将a,b,c 取值存储,在20 个时间单位后将存储的值赋给d,因为b,c 在初始时刻没有值,所以d 值也是不确定的。

5.指出在下面的Verilog 代码中各条语句的执行顺序。

其中是否含有不确定的执行顺序?a,b,c和d 的最终值是什么?答:先执行a=1’b0,b=1’b1,然后执行#0 c=b,#0 d=a,执行顺序不确定,最终输出结果a=0,b=1,c=1,d=0.6.在下面的例子中,d 的最终值是什么?答:仿真输出波形如下,最终输出结果d=1。

0 时刻b|c 的值是1,在25 个时间刻度后赋给d。

7.使用带有同步清零端的D 触发器(清零端高电平有效,在时钟下降沿执行清零操作)设计一个下降沿触发的D 触发器,只能使用行为语句。

夏宇闻verilog设计示范和上机习题

夏宇闻verilog设计示范和上机习题

设计示范和上机习题练习一.简单的组合逻辑设计//(方法一)://---------------文件名compare.v -----------------module compare(equal,a,b);input a,b;output equal;assign equal = (a==b)? 1 : 0;//a等于b时,equal输出为1;a不等于b时,equal输出为0。

endmodule//(方法二):module compare(equal,a,b);input a,b;output equal;reg equal;always @(a or b)if(a==b) //a等于b时,equal输出为1;equal =1;else //a不等于b时,equal输出为0。

equal = 0; //思考:如果不写else 部分会产生什么逻辑?endmodule//-------------------------------------------------------------//----------测试模块源代码(方法之一):`timescale 1ns/1ns // 定义时间单位。

`include "./compare.v" //包含模块文件。

在有的仿真调试环境中并不需要此语句。

//而需要从调试环境的菜单中键入有关模块文件的路径和名称module t;reg a,b;wire equal;initial // initial常用于仿真时信号的给出。

begina=0;b=0;#100 a=0; b=1;#100 a=1; b=1;#100 a=1; b=0;#100 a=0; b=0;#100 $stop; //系统任务,暂停仿真以便观察仿真波形。

endcompare m(.equal(equal),.a(a),.b(b)); //调用被测试模块t.mendmodule//---------- 测试模块源代码(方法之二):-------------------------`timescale 1ns/1ns // 定义时间单位。

VerilogHDL数字设计与综合(第二版)第十章课后习题答案

VerilogHDL数字设计与综合(第二版)第十章课后习题答案

10.6 习题1.在下列电路中用到了哪种类型的延迟模型?给模块Y 写Verilog 描述。

答:用到了分布延迟。

V erilog 描述如下:module Y(out,m,n,p,q); output out; input m,n,p,q;wire e,f;or #11 a1(e,m,n); and #8 a2(f,p,q); or #4 a3(out,e,f);endmodule2.在模块中用最大延迟把电路转换成集总延迟模型。

用集总延迟模型重写模块Y 的Verilog描述。

答:代码如下:module Y(out,m,n,p,q);output out; input m,n,p,q;wire e,f;or a1(e,m,n); and a2(f,p,q); or #15 a3(out,e,f);endmodule3.计算习题1中的电路的每条输入到输出路径的延迟。

使用路径延迟模型写V erilog 描述。

使用outY第10章时序和延迟145答:代码如下:module Y(out,m,n,p,q);output out;input m,n,p,q;wire e,f;specify(m=>out) =15;(n=>out) =15;(p=>out) =12;(q=>out) =12;endspecifyor a1(e,m,n);and a2(f,p,q);or a3(out,e,f);endmodule4.考虑下图所示的负边沿触发的异步复位D触发器。

写模块D_FF的V erilog描述,只给出输入/输出端口和路径延迟说明。

使用并行连接描述路径延迟。

V erilog HDL 数字设计与综合(第二版)146答:代码如下module D_FF(q,qbar,d,clock,reset); output q,qbar; input d,clock,reset; reg q,qbar;//bit to bit specify(d=>q) =5; (clock=>q) =6; (reset=>q) =2; (d=>qbar) =5; (clock=>qbar) =7; (reset=>qbar) =3; endspecifyendmodule5.假设所有路径延迟是5个单位时间,修改习题4中的D 触发器。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档