EDA实验箱资料说明
#eda实验箱介绍
前言本实验教材总体结构主要由三部分组成:其中第一部分是教案系统的简单介绍;第二部分是软件的安装及使用;第三部分为实验部分;在实验部分中其实验由易至难可分为基础类实验、应用类实验、模拟类实验和综合类实验。
本系统采用模拟与数字以及单片机相结合的设计思想,利用模块化组合设计,使得该实验系统操作简单,灵活,显示直观。
本实验系统主要是为了让学生通过实验了解并掌握MAX+plus II的逻辑输入方法,编译及仿真原理,PAC Designerr软件的使用,以及器件下载等基本内容;实验由简到难,由分离到综合,从而逐步提高学生实验能力和实验水平。
第一部分教案系统介绍一、配套硬件要求:1、PC机:要求586或以上的微机,内存需64MB以上,硬盘需1G以上。
2、示波器:20M示波器。
3、单片机仿真器。
二、NC-EDA-2000C型实验箱实验箱基本配套:NC-EDA-2000C实验箱一台;电源线一根;PAC、CPLD/FPGA 下载电缆各一根;RS-232电缆一根;实验指导书一本;实验连线30根。
2、系统结构组成:NC-EDA-2000C实验箱组成框图3、实验箱结构特点说明:芯片结构板:实验箱采用Altara公司的EP1K10TC100—3芯片,位于实验箱组成框图的14所示位置,具的低内核电压、低功耗的特点。
芯片内门电路高达1万门,内部使用RAM作电路结构,速度高达几百MHZ,其输出可用管脚已全部开放,位于芯片的四周,用户可以根据自己的要求和芯片本身的功能自己任意定义管脚。
同时为了体现实验箱的可扩展性,在芯片的两边各有一个34脚的IDE插口,可以通过数据排线与其它应用模块相连接,其具体的管脚如下图所示:其下载口位于实验箱组成框图的7所示位置。
EP1K10TC100—3芯片的管脚分见附图1。
显示类模块:实验箱中有液晶显示模块<LCD);8位7段数码管显示输出;;8位发光二极管<LED灯)等几个显示模块。
其功能是为了显示其实验的结果。
电子设计自动化(eda)实验指导书
电子设计自动化(EDA)实验指导书前言近些年来,电子设计自动化(EDA)技术发展迅速。
一方面,各种大容量、高性能、低功耗的可编程逻辑器件不断推出,使得专用集成电路(ASIC)的生产商感受到空前的竞争压力。
另一方面,出现了许多EDA设计辅助工具,这些工具大大提高了新型集成电路的设计效率,使更低成本、更短周期的复杂数字系统开发成为可能。
于是一场ASIC与FPGA/CPLD之争在所难免。
然而PLD器件具有先天的竞争优势,那就是可以反复编程,在线调试。
EDA技术正是这场较量的推动引擎之一。
一般来说,EDA技术就是以计算机为平台,以EDA软件工具为开发环境,以HDL为设计语言,以可编程器件为载体,以ASIC、SOC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。
设计者只需编写硬件描述语言代码,然后选择目标器件,在集成开发环境里进行编译,仿真,综合,最后在线下载调试。
整个过程,大部分工作由EDA软件完成。
全球许多著名的可编程器件提供商都推出了自己的集成开发工具软件,如Altera 公司的MAX+PLUSⅡ、Quartus Ⅱ软件;Xilinx公司的Foundation 、ISE软件,Lattice公司的ispExpert软件,Actel公司的Libero 软件等。
这些软件的推出,极大地促进了集算法设计、芯片编程、电路板设计于一体的EDA技术的发展。
另外,在以SOC芯片为目标器件的电子系统设计要求下,可编程器件的内部开始集成高速的处理器硬核、处理器软核、DSP模块、大量的存储资源、高速的串行收发模块、系统时钟管理器、多标准的I/O接口模块,亦使得设计者更加得心应手,新一轮的数字革命由此引发。
EDA技术是一门实践性很强的学科,要培养出具有竞争力的一流IC设计人才,动手能力是关键。
只有通过理论学习,加上现场实验,在使用软件编程加硬件调试的过程中真正获得锻炼,增长技能。
ZY11EDA13BE型实验系统采用主板加适配板加扩展板的灵活结构,可方便进行基于不同PLD芯片的实验开发,并易于升级,符合当前高校在此方面对人才培养的要求。
EDA实验台使用说明书
第一部分软件使用说明一、MAX+PLUSⅡ软件安装和使用(一)概述MAX+PLUSⅡ开发系统是易学易用的完全集成化的EDA设计开发环境。
它包含了开发CPLD/FPGA器件的全过程。
下面将以MAX+PLUSⅡ的基本使用为基础介绍CPLD/FPGA器件的开发方法,CPLD/FPGA 器件及其开发系统是极其复杂的,因此在学习使用时应注意如下特点:1) MAX+PLUSⅡ的使用与学习一定要与CPLD/FPGA硬件的学习相结合。
2) 注意学习软件与动手练习相配合,只有多动手设计与调试才能真正掌握设计思想与设计方法。
3) 多参考相关的书籍或MAX+PLUSⅡ的帮助系统。
4) 在学习过程中要与数字电路、计算机语言等课程进行比较,找出相同点与不同点,进行比较、类比地学习。
5) 概念的区分与使用:器件与符号:如在数字电路中7400为一个器件,在MAX+PLUSⅡ中器件一般被CPLD/FPGA 器件专用,而MAX+PLUSⅡ中调用的中小规模的器件都称为符号。
本文中有时出于习惯,也会在该使用“符号”的地方而使用“器件”名称,因此在碰到像“器件”、“符号”这样的词,一定要注意上下文的联系。
模块与符号:传统习惯,一般是将一个电路抽象后形成模块,利用模块进行更高层次的设计。
而在MAX+PLUSⅡ中电路抽象后形成的模块依然称为“符号”。
因此在见到“模块”与“符号”这样的词语时,也要注意上下文的联系。
(二)MAX+PLUSⅡ的版本及其安装2.1单机版软件推荐下述系统配置:奔腾Ⅱ300MHz以上 CPU、64M以上内存、WIN95或WIN98/WIN2000操作系统、CDROM驱动器、2G或更大容量的硬盘。
2.2 安装过程1.将MAX+PLUSⅡ的安装光盘放入CDROM中。
2.在我的电脑下双击光盘图标,继续操作找到MAXPLUS2,在MAXPLUS2目录下双击INSTALL图标。
3.根据提示选择Full installation 进行全部安装或custom Installation进行定制安装,如2-1图所示:图2-1 MAX+PLUSⅡ安装示意图(1)4.根据提示设置好安装路径后,点击NEXT,在下一个界面中将所需部分选中,如果计算机有足够的硬盘空间,最好全部选中,因为有些文件虽然不是必须的,但安装后对改善MAX+PLUSⅡ的使用起到很大的作用。
半导体器件实验箱,使用说明书
半导体器件实验箱,使用说明书摘要:一、实验箱简介1.半导体器件实验箱的作用2.实验箱的使用对象二、实验箱的组成1.电源模块2.控制模块3.实验模块三、实验箱的使用方法1.准备工作2.连接电源与控制模块3.连接实验模块4.开始实验四、实验箱的维护与保养1.日常维护2.清洁与保养3.注意事项正文:半导体器件实验箱是一种用于教学、研究和生产实践的实验设备,它可以帮助用户学习和掌握半导体器件的基本原理和特性。
本实验箱适用于高校电子工程、通信工程、微电子等相关专业的学生以及从事半导体器件研究、生产、检测等方面的工作人员。
实验箱主要由电源模块、控制模块和实验模块组成。
电源模块为实验提供稳定的直流电压;控制模块负责对实验过程进行控制和数据采集;实验模块则是用户进行实验操作的部分,包括各种半导体器件、连接线和接口等。
使用实验箱进行实验前,需要做好准备工作,包括检查实验箱及所有附件是否完好无损,确保电源、控制模块等设备工作正常。
然后按照实验箱的连接示意图,将电源模块、控制模块与实验模块连接在一起。
连接完毕后,可以开始进行实验操作。
根据实验要求,通过控制模块对电源模块进行调节,对实验模块进行操作,观察实验现象并记录实验数据。
实验过程中应严格遵守实验操作规程,确保实验安全顺利进行。
实验结束后,需要对实验箱进行维护与保养。
日常维护主要包括保持实验箱内外清洁、避免阳光直射、防止雨淋等。
在清洁与保养方面,应定期对实验箱进行擦拭,注意不要使用有机溶剂清洗,以免损坏表面涂层。
此外,还需注意避免在实验箱附近存放易燃、易爆物品,防止意外事故发生。
总之,半导体器件实验箱是一种十分重要的实验设备,掌握其正确使用方法对于学习半导体器件知识具有重要意义。
EDA技术试验箱介绍
数码管引脚
数码管使用了2个4位数码管。其中每个数码管有8个数据位(a….g,d) 来决定显示的内容,还有4个控制(s1,s2,s3,s4)选择位,决定哪一个数码 管亮。利用4个控制位动态扫描技术实现4个数码管全部显示。
数码管片(段)选,FGPA 输出高逻辑有效
S1
S2
S3
S4
a
a
a
a
f
bf
bf
bf
展
注意事项
口
4*4键盘矩阵
核心板
管脚脆弱! 使用时注意 不要触 摸!!!
时钟管脚号:132
发光二极管模块
电气特性示意
VCC
FPGA
发光二极管的引脚
发光二极管管脚分配关系
发光二极管管脚分配关系
管脚名称 管脚号 管脚名称 管脚号
管脚名称 管脚号 管脚名称 管脚号
LEFT_R
187
LEFT_G 182
2 5E 7C B6 4
8*8点8*8点阵
0 DF 3 A 1 G H D D
管脚名称
A B C D E F G H 0 1 2 3 4 5 6 7
DU1/DU4 管脚号
17 16 15 14 13 11 10 9 120 118 110 114 112 108 106 105
数码管管脚分配关系
管脚名称 A B C D E F G H 0 1 2 3 4 5 6 7
b
g
g
g
g
e
ce
ce
ce
c
d
dp
d
dp
d
dp
d
dp
ab
ቤተ መጻሕፍቲ ባይዱ
c
d
EDA实验指导书全
实验一Quartus II开发环境入门一、实验目的1、了解QuartusII软件及基本操作2、熟悉图形编辑器Block Builder/Schematic File的设计输入3、掌握电路的编译和适配4、掌握电路仿真与时序分析5、熟悉3/8线译码器工作原理和五人表决器设计二、实验原理1、以3/8线译码器为例,总体思路以EP1C3中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七个彩灯上显示。
2、三、实验步骤主要仪器和设备:计算机,EDA实验箱。
步骤一:1、建立工程,设计输入。
选择菜单“File”→“New Preject Wizard”将设计文件加入工程中:点击下方的“Next” 按钮,在弹出的对话框中点击“File”栏的按钮,将此工程相关的所有VHDL文件加入进此工程(如果有的话)。
Cyclone系列的EP1C3T144C8按下“Next”后,出现仿真工具选择对话框。
不作任何选择。
4、完成设置点击“Next”后,完成工程的设定,点击“finish”。
步骤二:1、选择File/New或点击主菜单中的空白图标,进入新建程序文件状态,选择VHDL file 。
VHDL程序文件的扩展名是:* .vhd程序代码:-- A simple 3 to 8 decoderlibrary ieee;use ieee.std_logic_1164.all;entity decoder isport ( inp: in std_logic_vector(2 downto 0);outp: out std_logic_vector(7 downto 0)); end decoder;architecture behave of decoder isbeginoutp(0) <= '1' when inp = "000" else '0';outp(1) <= '1' when inp = "001" else '0';outp(2) <= '1' when inp = "010" else '0';outp(3) <= '1' when inp = "011" else '0';outp(4) <= '1' when inp = "100" else '0';outp(5) <= '1' when inp = "101" else '0';outp(6) <= '1' when inp = "110" else '0';outp(7) <= '1' when inp = "111" else '0';end behave;步骤三:1、选择菜单“File”→“New ” →“ Schematic File”,即弹出原理图编辑框。
HK-IV型EDA实验箱说明书
第一章HK-IV型EDA实验系统特点介绍该系统由实验机结合可编程技术开发而成。
适用于Altera、Lattice、Xilinx等多种芯片教学实验。
可使用VHDL、Verilog、AHDL、原理图、状态图等多种方式设计。
主系统仅用一根下载电缆,无需增加任何适配板即可对Lattice、Xilinx、Aitera、Vantis、Atmel和Cypress等公司的不同芯核电压的FPGA/CPLD器件进行在系统编程。
为了适应将来市场发展要求,可以进行软件升级以适应更多型号的FPGA/CPLD。
系统可配置多个公司不同逻辑资源、封装的适配板,且系统主板功能及通用下载电路ASIC的硬件具备可升级性。
(1)系统含标准5V、3V、2.5V、1.8V混合工作电压功率输出电路模块,以便可对适配板上不同芯核电压的FPGA/CPLD器件进行实验和开发。
(2)系统含标准低压(3.3V、2.5V、1.8V),下载口可用于对外部不同芯核电压的FPGA和CPLD器件进行编程下载。
(3)含典型EDA实验必配的标准VGA彩显接口,可用于显卡或工控设备开发(可提供VHDL应用演示实例)。
(4)含典型EDA实验必配的PS/2鼠标、键盘接口(可提供VHDL应用演示实例)。
(5)含典型EDA实验必配的RS232串行接口,同可于硬件串行通信电路开发、编码模块开发等(提供VHDL演示实例)。
(6)含典型EDA实验必配的单片机总线接口及与CPLD/FPGA至PC机双向通信接口(可提供VHDL演示实例)。
此实验为学生提供MCS-51汇编语言、VHDL语言、C语言综合应用设计方面的全面锻炼,为学生在电子设计方面的充分发挥提供了可行的平台。
(7)含LED、数码管、扬声器(通过频率控制可奏乐,提供VHDL演示实例)等。
(8)含8个按键、16个开关,供硬件加法器、乘法器、序列检测器、编码器、音乐演奏、脉宽调制、A/D高速采样等设计实验用(提供VHDL演示实例)。
EDA 实验指导书
EDA技术基础实验指导书海南大学信息学院编目录实验一MAX –plusII及开发系统使用 1 实验二高速四位乘法器设计7 实验三秒表的设计9 综合性设计性实验实验四序列检测器的设计12 实验五数字频率计的设计14 数字密码锁17 交通灯控制器182EDA实验指导书实验一MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。
三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。
它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。
因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:1、实验器材集中化,所有实验基本上在一套实验设备上进行。
传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。
而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、实验耗材极小(基本上没有耗材);3、在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、下载后,实验结果清晰;5、实验仪器损耗少,维护简单;下面,我们就本套实验设备做一个简单的介绍。
3(一)Max+plusⅡ10.0的使用。
1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。
第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。
EDA综合实验箱使用手册.
EDA综合实验箱使用说明手册一.系统概述1. 系统结构及说明系统结构如图1.1所示:图1.1 系统结构框图2. 硬件资源 1.1单片机资源◆完全兼容51内核的SST89E516RD,管脚兼容AT89C51,带仿真监控程序◆时钟频率:0~40MHz ◆集成1KBy片内RAM◆64Kbyte + 8Kbyte Flash EEPROM ◆看门狗◆可编程计数器阵列(PCA)◆SPI接口◆I2C接口1.2可编程逻辑器件资源(EP3C10E144)◆10,320逻辑单元(LE)◆46个M9K◆423,936bit RAM◆23个18*18硬件乘法器◆2个锁相环(PLL)◆10个全局时钟网络◆最大94个用户IO口◆最大22组差分接口1.3常用外围设备资源◆4*4矩阵按键◆8*1独立按键◆8个发光二极管◆8位7段数码管◆字符液晶1602◆点阵液晶12864(带字库)◆蜂鸣器◆8K串口存储器(仅单片机模式可用)◆10位高精度AD转化器(仅单片机模式可用)◆12位高精度DA转换器二.操作说明1. 人机交互模块功能说明该模块是本EDA实验箱的人机对话界面,主要实现对本EDA实验箱的模式选择、电压测量、信号输出、频率测量以及系统复位等功能。
其主要部件及功能如表2.1所示:2. 实验箱上电或复位当实验箱初次上电或者按Reset(复位)键,都将进入初始化界面,该界面将显示重庆邮电大学徽标以及实验平台的名称、制作者等信息,随后即自动进入功能选择界面。
如图2.1所示:图2.1 功能选择界面功能1:电压测量能够完成对实验箱上+5V、+3.3V两路电源电压以及外接直流电压(VT)的测量。
功能2:信号输出能够同时产生多路不同频率的方波信号,频率调节范围(1Hz~1MHz)。
功能3:频率测量可以测量外接频率(FT)。
功能4:模式选择实现不同工作模式间的切换。
目前本实验箱共设计有9种不同的工作模式(模式0~模式8),不同模式对应有不同的电路结构,各模式下的电路结构图可参见模式介绍。
EDA实验教程
实验箱的使用说明本实验箱无需添加其它设备,仅需与计算机连接便可进行所有实验。
硬件安装及使用中需要注意的几个步骤如下:1、打开实验箱,检查并核实设备完好及附件齐全;2、检查下载编程电缆标识:不同公司的编程电缆是不同的,如Altera公司的编程电缆出厂时标有Altera字样、Lattice公司的的电缆有两种:一种是数字器件编程电缆,标有Lattice字样;另一种是模拟芯片编程电缆,其上标有PAC字样,其它厂家类似。
使用时一定要注意编程电缆不能混用,否则程序不能正确下载。
同一厂家的CPLD/FPGA芯片的下载电缆是一样的。
3、安装下载编程电缆:确保欲安装的下载电缆与当前实验箱上适配器芯片相一致,然后将其25针的接插头连接到计算机的并行口上,另一端连接到实验箱的JTAG编程座上,并确保电缆两断接触良好。
4、开启实验箱电源,电源指示灯亮。
本电源为5V开关电源,有短路及自恢复等功能。
如电源指示灯不亮,请检查是否已接入220V电源或由其它原因所致。
5、硬件安装结束,并打开实验箱电源,此时便可进行软件编程下载。
程序正常下载时其编程接口旁边的状态指示灯处于闪烁状态,如不能正确下载请检查是硬件问题还是软件设置问题?如不能最终排除故障请急时与我们联系。
6、实验时严禁带电接插,以防损坏电路芯片。
如有异常要急时切断电源并排除故障。
实验设备:计算机EDA试验箱MaxplusII 软件连接导线实验一原理图输入设计方式一、实验目的:1、通过一个简单的 3-8 译码器的设计,让学生掌握原理图输入方式。
2、掌握组合逻辑电路的静态测试方法。
3、初步了解可编程器件设计的全过程。
二、实验步骤:MaxplusII 软件的基本操作与应用(一)设计输入:1、软件的启动:进入 Altera 软件包,打开MAX+plus II 10.0 软件,如图 11所示。
实验二:简单组合逻辑设计一、实验前准备本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。
EDA-IV型EDA实验箱介绍
EDA-IV型EDA实验箱介绍一、系统概述EL—EDA IV可编程实验系统采用模拟与数字相结合的设计方法,并利用模块化组合设计,使在实验过程中操作简单、显示直观,在实验系统中,可以实现CPLD与PAC 的同时下载开发,功能齐全,是为了配合数字可编程(CPLD、FPGA)和模拟可编程(PAC)实验系统而开发的一套综合实验系统。
它适合于各种数字电路的设计及仿真,模拟信号处理系统的开发,并可使两者结合实现更复杂的综合实验。
实验系统中数字芯片采用的是ALETER公司的10K系列,模拟芯片采用的是LATTICE公司的ispPACTM20。
二、系统结构1、芯片接口电路主要负责整个系统与不同公司不同类型的芯片实现通讯。
在整个系统中,共有两个下载接口,一个是数字芯片的下载接口(DIGITAL JTAG),主要用于CPLD/FPGA芯片的数据下载;另一个是模拟芯片的下载接口(ANALOG JTAG),主要用于ispPAC芯片的数据下载。
2、12位按纽开关模块开关弹起时为高电平,按下时为低电平。
所对应的接口序号为:K1-K123、18位拨码开关模块开关拨向下方时为低电平,拨向上方时为高电平,对应的接口序号为:D0-D174、蜂鸣器输出模块当输入口SPEAKER_IN输入高电平时,蜂鸣器响。
5、电压调节模块调节旋纽时,输出口OUT的电平在0-5V内变化。
6、D/A转换模块AD558是8位数/模转换芯片, I/O口定义如下:D0-D7:数据总线,输入口/CE:转换允许信号,低电平有效/CS:片选信号,低电平有效D/A OUT:D/A直接输出口(0-5V)。
当跳线接左边时,D/A输出的信号直接从该口输出;当跳线接右边时,D/A输出的信号经LM358放大输出。
7、模拟信号源模块所对应的接口序号为:Diff IN:需差分转换信号输入口Mux IN1:需叠加信号1输入口Mux IN2:需叠加信号2输入口Diff OUT+:差分信号正极性输出端口,为Diff IN差分后的信号Diff OUT-:差分信号负极性输出端口,为Diff IN差分后的信号Mux OUT:叠加信号输出端口,为Mux IN1与Mux IN2相加后的信号SIN_OUT 312KHz:正弦信号312KH Z输出端口8、存储器模块采用2864并行E2PROM芯片。
YUY-SAE数电模电EDA综合实验箱
YUY-SAE数电/模电/EDA综合实验箱一、系统特点:该实验装置具有安全、稳定、可读、可调整、直观、灵活和新型等特点,操作简单方便,装置运行可靠。
具有很强的二次开发功能。
1、安全性人员安全的保护:不论实验装置在正常工作或故障状态下,不会危及操作人员的人身安全。
对误操作的保护:不会因为误操作而导致实验装置损坏。
电源的过流保护:因短路等故障而过流时,可自动切断实验装置电源。
2、直观性实验装置功能模块的主要电气原理或特征将在面板或电路卡上指示,实验装置各功能引脚的符号标注在面板上。
3、灵活性实验装置采用主板和各模块分离的设计,可编程器件焊接在独立模块上。
通过选择模块可以选择不同厂家、不同型号、不同规模的可编程器件,既可适应不同的教学需要,也使系统的功能和规模扩展变得更为方便。
为了方便实验操作,减少对实验仪器仪表的依赖,实验装置主板上各部分功能模块(包括一些基本功能模块和实验小工具)几乎都是相互独立的,可以根据需要选择模块进行接线。
实验装置提供扩展集成插座、面包板和部分必须的分立元件等,留有足够的接线机会,也给实验装置留有足够的机动灵活性。
4、新颖性实验装置提供了逻辑可编程实验平台和模拟可编程实验平台,其中逻辑可编程实验平台包括CPLD/FPGA模块,模拟可编程实验平台包括ispPAC模块。
不同模块使用不同的芯片,根据需要可选择不同功能芯片的模块插接到实验主板上。
5、电源多样性系统提供了多种电压,方便用户使用。
(1)±5V/1A (2)±12V/0.2A (3)0~27V/0.2A连续可调(4)0~-12V/0.2A连续可调6、系统资料根据用户选择的配置,向用户提供完整的实验程序(如有),文档,软件。
二、各功能主要特点(一)数字电路功能单元1、4×4矩阵键盘:矩阵式结构,组合按键。
2、8位乒乓开关:开关量输入。
3、十六进制8421拨码盘:提供0~9,A~F 十六进制编码值。
eda-sopc实验箱简介
第一章实验箱简介EDA/SOPC实验箱是集EDA和SOPC开发为一体的综合性实验箱,它不仅可以独立完成几乎所有的EDA设计,也可以完成大多数的SOPC开发。
采用Altera公司的Cyclone系列的30万门FPGA为核心,整个系统采用模块化设计,各个模块之间可以自由组合,使得该实验箱的灵活性大大提高。
同时实验箱还提供了丰富的接口模块,供人机交互,从而大大增加了实验开发者开发的乐趣,满足了普通高等院校、科研人员等的需求。
开发工程师可以使用VHDL语言、Verilog HDL语言、原理图输入等多种方式,利用Altera公司提供的Quartus II及NiosII软件进行编译,下载,并通过EDA/SOPC实验箱进行结果验证。
实验箱提供多种人机交互方式,如键盘阵列、按键、拨挡开关输入;七段码管、大屏幕图形点阵LCD显示;串口通信;VGA接口、PS2接口、USB接口、Ethernet接口等,利用Altera公司提供的一些IP资源和Nios 32位处理器,用户可以在该实验箱上完成不同的SOPC设计。
EDA/SOPC实验箱提供的资源有:●Altera公司的EP1C6Q240C8,30万门级FPGA,另外可选配更高资源的FPGA●FPGA配置芯片采用可在线变成的EPCS4,通过JTAG口和AS口即可完成设计的固化●1个数字时钟源,提供48MHz、12MHz、1MHz、100KHz、10KHz、1KHz、100Hz、10Hz、2Hz和1Hz等多个时钟●1个模拟信号源,提供频率和幅度可调的正弦波、三角波和方波●1个串行接口,用于完成与计算机的通信●1个VGA接口●1个PS2接口,可以接键盘或鼠标●1个USB接口,利用PDIUSBD12芯片实现USB协议转换●1个Ethernet接口,利用RTL8019芯片实现TCP/IP协议转换●基于SPI接口的音频CODEC模块●1个输入、输出探测模块,供数字信号的观察●16个LED显示●8个拨挡开关输入●8个按键输入●1个4X4键盘阵列●8个七段码管显示●1个扬声器模块●1个交通灯模块●1个直流电机模块●1个高速AD和1个高速DA●240×128大屏幕图形点阵LED显示●存储器模块提供256K×32bit(8Mbyte)的SRAM和8M×8Bit的FLASH ROM实验箱基本布局如下图1-1所示:图1-1 EDA/SOPC 试验箱系统布局下面就部分模块做简要介绍。
EDA实验箱实验指导书
实验二流水灯1.实验目的通过本实验让学生进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及VHDL语言的编程方法;学习简单的时序电路的设计和硬件测试。
2.实验内容本实验的内容是控制实验箱上的发光二极管LED1—LED8,使之实现流水灯显示。
3.实验原理在LED1~LED8引脚上周期性地输出流水数据,即输出的数据依次为11111111、11111110、11111100、11111000、11110000、11100000、11000000、10000000、00000000,如此循环显示,输出数据“0”,表示点亮相应的LED小灯。
为了方便观察,流水的速率控制在2Hz左右。
在核心板上有一个48MHz的标准时钟源,该时钟源与芯片EP2C5的23脚相连。
为了产生2Hz 的时钟源,在此调用了分频模块int_div。
4.实验步骤(1)启动Quartus II,建立一个空白工程,然后命名为led_waterflow.qpf。
(2)新建ledwater.vhd源程序文件,源代码如下。
然后进行综合编译。
若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。
生产符号文件ledwater.bsf (File→ Create/_Update → Create Symbol Files for Current File)。
流水灯程序参考LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_Arith.ALL;USE IEEE.STD_LOGIC_Unsigned.ALL;ENTITY ledwater ISPORT(clk: IN STD_LOGIC;led: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END;ARCHITECTURE one OF ledwater ISSIGNAL led_r: STD_LOGIC_VECTOR(8 DOWNTO 0);BEGINled<=led_r(7 DOWNTO 0);PROCESS(clk)BEGINIF clk’event and clk=’1’ THENled_r<=led_r(7 DOWNTO 0) & '0';IF led_r="000000000" THEN --循环完毕吗?led_r<="111111111"; --是,则重新赋初值END IF;END IF;END PROCESS;END;(3)将实验模块库里的int_div.vhd和int_div.bsf拷贝到工程目录下。
HL-SEDA型数字逻辑(EDA)电路实验箱
HL-SEDA型数字逻辑(EDA)电路实验箱数字逻辑实验箱(EDA),可以完成高等院校“数字电路课程”的全部实验,适用于高等院校及各类职业技术学校的电子技术类教学。
一、系统组成1、电源:交流输入:220V±10% 、50Hz直流输出:±12V/0.3A 、5V/2A2、手动单脉冲电路2组:每组可同时输出正负两个脉冲,脉冲幅值为TTL电平。
3、固定频率脉冲源7路,输出均为TTL电平:1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、1MHz;4、时序脉冲发生电路及启停控制电路。
可产生四路脉冲信号,脉冲周期与输入的时钟信号相同,四个脉冲之间依次相差1个输入时钟周期。
5、六位高精度数字频率计,测量范围:0-9.9999MHz ,误差<1Hz6、十六位逻辑电平输入开关:可输入低电平‘0’、高电平‘1’(为正逻辑)。
7、十六位逻辑电平指示灯:红色表示高电平‘1’,绿色表示低电平‘0’。
8、数码管显示:六位由八段LED数码管组成的BCD码译码显示电路。
供数字钟、日历等实验显示用。
9、可变电位器2只,阻值分别22K,100K。
10、开放实验区,用于扩展实验、课程设计使用。
(1)提供锁紧插座共8只,其中40芯2只,20芯2只,16芯4只,可插8芯~40芯的种IC。
(2)台湾产面包板二块,可接插电阻、电容、稳压管、二极管、三极管等元器件。
11、EDA扩展区,标准配置为Lattice 1032实验板,也可根据学校要求选配,如Lattice 4256,Altera EPM7128s、Altera EP1K30、Altera EP1K100、Xinlix 95108等实验板。
二、实验项目1、数字电路推荐实验项目:(1)TTL集成逻辑门的参数测试与使用(2)CMOS集成逻辑门的测试(3)门电路的逻辑功能实验(4)常用组合逻辑功能器件的测试(5)数据选择器及其应用(6)血型关系检测电路和表决电路(7)RS触发器的功能与测试(8)JK触发器逻辑功能及主要参数测试(9)移位寄存器的功能测试(10)十进制计数器(CMOS)(11)异步计数器(12)同步计数器(13)计数、译码、显示电路实验(14)555集成定时器及应用(15)序列检测器(16)数-模转换器(17)追随比较型A/D转换电路(18)人工控制交通灯控制器(19)汽车尾灯控制电路(20)数字钟电路的设计(21)时序电路测试及研究(22)波形产生及单稳态触发器(23)A/D 转换电路; (24)D/A转换电路;2、EDA器件开发设计实验1、单元电路设计实验:(1)常用门电路设计;(2)编码器/译码器设计;(3)触发器及时序电路设计;(4)同步/异步计数器设计;(5)键盘扫描实验;(6)加法器实验2、综合设计实验:(1)SSI组合电路的设计与冒险竞争观察;(2)MSI组合电路的设计;(3)可读写寄存器的设计。
EDA实验手册
EDA 实验手册实验一3-8线译码器的设计一、实验目的同3-8线译码器的设计,让同学们掌握组合逻辑电路的设计方法,熟悉Quartu s Ⅱ设计硬件电路的基本流程。
二、实验步骤1、新建一个工程,注意工程名、文件名还有实体名要一致。
2、新建一个VHDL文件,输入设计程序并保存。
3、对新建的VHDL文件进行语法编译。
4、进行仿真(也可以跳过这一步)。
5、进行引脚分配,并进行总编译。
6、将程序下载到实验箱,在实验箱上连线进行实验。
三、参考程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity decoder3to8 isport(input:in std_logic_vector(2 downto 0);output:out bit_vector(7 downto 0));end decoder3to8;architecture behave of decoder3to8 isbeginoutput<="00000001" sll conv_integer(input);--用输入值来控制左移的位数end behave;四、实验要求1、仿真出3-8线译码器的实际波形。
2、根据实验结果画出3-8线译码器的真值表。
实验二十进制计数器的设计一、实验目的设计一个带使能输入、同步清零和同步加载功能的加1/减1计数器。
二、功能分析(1)同步清零端低电平有效,当其为低电平时,在下一个时钟上升沿来临时计数值清零,即清零功能与时钟同步。
(2)同步加载端低电平有效,当其为低电平时,在下一个时钟周期来临时将要加载的计数值读入计数器,然后将其置为高电平后,在读入的数的基础上加1或减1计数。
(3)使能输入端高电平有效,当其为高电平时正常计数,当其为低电平时停止计数。
(4)当计数方向控制端为高电平时加1计数,当其为低电平时减1计数。
EDA试验箱资料 GW48 EDA-SOPC主系统使用说明
附录:GW48 EDA/SOPC 主系统使用说明第一节GW48教学实验系统原理与使用介绍一、GW48系统使用注意事项 (用户必读!!!) a :闲置不用GW48系统时,必须关闭电源!!!b :在实验中,当选中某种模式后,要按一下右侧的复位键,以使系统进入该结构模式工作。
注意此复位键仅对实验系统的监控模块复位,而对目标器件FPGA 没有影响,FPGA 本身没有复位的概念,上电后即工作,在没有配置前,FPGA 的I/O 口是随机的,故可以从数码管上看到随机闪动,配置后的I/O 口才会有确定的输出电平。
c :换目标芯片时要特别注意,不要插反或插错,也不要带电插拔,确信插对后才能开电源。
其它接口都可带电插拔。
请特别注意,尽可能不要随意插拔适配板,及实验系统上的其他芯片。
e: 使用实验系统前,查阅系统的默认设置ppt 文件:EDA 技术与VHDL 书实验课件说明_必读 .ppt 。
二、GW48系统主板结构与使用方法以下将详述GW48系列SOPC/EDA 实验开发系统(GW48-PK2/CK )结构与使用方法,对于这2种型号的不同之处将给予单独指出。
该系统的实验电路结构是可控的。
即可通过控制接口键,使之改变连接方式以适应不同的实验需要。
因而,从物理结构上看,实验板的电路结构是固定的,但其内部的信息流在主控器的控制下,电路结构将发生变化---重配置。
这种“多任务重配置”设计方案的目的有3个:1、适应更多的实验与开发项目;2、适应更多的PLD 公司的器件;3、适应更多的不同封装的FPGA 和CPLD 器件。
系统板面主要部件及其使用方法说明如下。
以下是对GW48系统主板功能块的注释。
ASDODATA0nCONFIG CONF_DONEDCLKGNDnCSO nCE VCCIO ByteBlast II 在线编程座GND o o o o ooo o o o 在线编程座ByteBlast(MV)SEL1SEL0GNDTDInSTA TMS TDO TCK o o o o ooo o o o 主板右数第2、3列“目标板插座”信号相同2.5V3.3V 1.8V -12V +12V PIO79PIO78PIO75PIO74PIO73PIO72PIO71PIO70PIO69PIO68CON2CON1PIO77PIO76PIO67PIO66PIO65PIO64PIO63PIO62PIO61PIO60oo o o o o o o o o oo o o o o o o o o SEL1SEL0o o o o o o o o o o 目标板插座14039PIO24PIO22PIO20PIO18PIO16PIO14PIO12PIO10PIO8PIO6PIO4nSTA PIO0PIO2VCCPIO25PIO23PIO21PIO19PIO7PIO17PIO15PIO13PIO11PIO9PIO5PIO3PIO1o o o o o o o o o o o o o o o o o o o o o o o o o GND TCK TDO TMS TDI o o o o o 目标板插座24039PIO27PIO26PIO29PIO31PIO33PIO35PIO37PIO39PIO41PIO43PIO45PIO47PIO49CLOCK0CLOCK2GND PIO28PIO30PIO32PIO34PIO36PIO38PIO40PIO42PIO44PIO46PIO48SPEAKER CLOCK5CLOCK9VCC ooo o o o o o o o o o o o o o o o o o o o o o o o o o o o o o oo oo oo o o附图1 GW48 EDA 系统电子设计二次开发信号图(1) “模式选择键”:按动该键能使实验板产生12种不同的实验电路结构。
EDA实验箱原理图 康芯科技
EDA/SOPC技术实验讲义第一版(2008)杭州康芯电子有限公司配套资料使用说明一、设备配件1、电源线一根2、25芯并口下载线一根3、十芯JTAG口线一根4、USB下载线一根5、USB下载器一个6、RS232串口线一根7、单口红色小线若干根8、配套讲义一本二、配套资料使用使用说明为了使您更好更快地了解和使用本公司产品,本公司特录制了音像资料,在这里做相关说明:1、本公司EDA/SOPC产品的主系统主要GW48-PK2S/PK3/PK4,适配板GWAC6/AC12/GW2C35等,如您定购的设备主系统型号是GW48-PK3,适配板地的型号是GWAC6,那么给您提供的光盘里文件夹:GW48-PK3+1C6_12;2、在每个主文件夹有如下文件:●在您对本公司产品还不了解,可以打开“系统特色及功能说明”;●“EDA_BOOK3_FOR_1C6(或2C5)”文件夹是科学出版社出版的《EDA实用教程》配套例程,每个配套例程都有音视或PPT说明;●如您设备计算机组成原理,那么”CT_BOOK1_FOR_1C6_12(或2C35)”就是《现代计算机组成原理》教材的配套例程;●“原理图”文件夹里的文件主要是是设备主系统及适配板的原理图,包括1C6/2C35/ADDA/SRAM/FLASH等。
●在“A_FILE”文件夹里,包括所有设备上液晶的使用手册和技术参数;如您的设备配有“DDS函数发生器”模块,此模块具体使用说明在此文件夹里,“Guagle.wave”是任意波生成器软件,在使用“DDS函数发生器”可使用到此软件●本公司每套设备都配有经典演示示例,具体演示文件及说明在“系统经典示例及说明”文件夹里。
3、改进后的设备PK2S/PK4可增配全数字DDS函数发生器模块,这给需要此功能的用户带来了3、如您想对QuartusII软件及康芯GW48系列EDA设备快速的了解,可以打开“软硬件操作流程_实验1计数器”文件夹,里面详尽地介绍了从软件建工程—仿真-锁定引脚-硬件下载测试等一系列操作方法。
实验箱使用说明
ZYE 1502D型EDA实验箱使用说明一、硬件系统1、芯片下载板:Altera公司:EPF10K10LC84-4下载板(数字部分)2、数码管显示:动态显示8位(M1-M8),静态显示4位(M5-M8);3、发光二极管输出:16位;4、输入位数(开关):16位;5、输入位数(按键):16位;6、时钟信号:由50MHz、12MHz、4.194304MHz晶振输出:7、喇叭一个;8、配有RS232接口;9、配有VGA接口;10、配有PS/2键盘接口;11、16X16点阵;12、配有并行A/D转换器ADC0809;并行D/A转换器DAC0832;13、配有存贮器62256;14、配有单片机(AT89C51)15、配有一片管理芯片EPM7128;16、含有一块通用下载模块;17、含有8038低频信号源输出模块;系统实物照片如图1。
图1 ZYE 1502D型EDA实验箱实物照片系统整体模块结构如图2。
图2 ZYE 1502D型EDA实验箱模块结构二、配套软件Max+Plus II 10.2版1、运行环境Win95/98或NT4.02、层次化设计支持3、原理图输入支持4、文本输入支持5、AHDL, VHDL输入支持6、全仿真/功能仿真支持7、逻辑综合支持8、硬件编程/下载支持9、原理图设计宏库基本库10、支持芯片CPLD/FPGA系列三、操作指南1、开关、按键及指示灯KD1-KD16、K1-K16、KL1-KL16:主板下方有16个按键K1-K16;16个拨位开关KD1-KD16;16个发光二极管KL1-KL16。
每个纵列的一组开关、按键、发光二极管与下载板上CPLD/FPGA 的一个I/O口对应相连。
当与I/O口相对应的开关KDi作为输入使用时,开关拨向上,开关上方的发光二极管亮,表示开关向该I/O口输入一个逻辑量为“1”的高电平,拨向下时,表示“0 "。
当需要用按键向I/O口输入一个短脉冲量时,首先需将开关拨向下方,按卜键Ki后,发光二极管KLi亮,表示该按键Ki向对应I/O口输入了一个正脉冲。