大连理工大学数字电路课程设计报告:多功能数字时钟设计

合集下载

数电设计数字钟基于QUARTUS完整版

数电设计数字钟基于QUARTUS完整版

数电设计数字钟基于Q U A R T U SHEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】大连理工大学本科实验报告题目:数电课设——多功能数字钟课程名称:数字电路课程设计学院(系):电信学部专业:电子与通信工程班级:学生姓名: ***************学号:***************完成日期:成绩:2010 年 12 月 17 日题目:多功能数字时钟一.设计要求1)具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)2)具有手动校时校分功能3)具有整点报时功能,从59分50秒起,每隔2秒钟提示一次4)具有秒表显示、计时功能(精确至百分之一秒),可一键清零5)具有手动定时,及闹钟功能,LED灯持续提醒一分钟6)具有倒计时功能,可手动设定倒计时范围,倒计时停止时有灯光提示,可一键清零二.设计分析及系统方案设计1. 数字钟的基本功能部分,包括时、分、秒的显示,手动调时,以及整点报时部分。

基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。

利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。

将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。

进入手动调时功能时,通过按键改变控制计数器的时钟周期,使用的时钟脉冲进行调时计数(KEY1调秒,LOAD2调分,LOAD3调时),并通过译码器由七位数码从59分50秒开始,数字钟进入整点报时功能。

每隔两秒提示一次。

(本设计中以两个LED灯代替蜂鸣器,进行报时)2. 多功能数字钟的秒表功能部分,计时范围从00分秒至59分秒。

可由输入信号(RST1)异步清零,并由按键(EN1)控制计时开始与停止。

将DE2硬件中的50MHZ晶振经过分频获得周期为秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示。

EDA课程设计报告(多功能数字时钟的设计)

EDA课程设计报告(多功能数字时钟的设计)

1 绪论1.1设计目的本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA 技术,加深对计算机体系结构的理解。

通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,学习VHDL基本单元电路的综合设计应用。

通过对实用数字钟的设计,巩固和综合运用计算机原理的基本理论和方法,理论联系实际,提高设计、分析、解决计算机技术实际问题的独立工作能力。

1.2设计要求(1)熟练掌握VHDL语言的结构特点并能运用到具体实际中。

(2)学会利用复杂的可编程逻辑器件进行简单的电子系统设计。

(3)熟悉并掌握基于EDA实验开发系统设计实际问题的方法和步骤。

(4)通过设计过程提高自己运用所学知识来分析解决问题的能力。

1.3设计内容本课程设计中使用Altera公司的EP2C35系列的FPGA芯片,利用SOPC-NIOSII-EP2C35开发板上的资源和QuartusII软件,实现一个多功能数字时钟。

本设计的任务要求显示格式为小时-分钟-秒钟,整点报时,报时时间为10秒,即从整点前10秒钟开始进行报时提示,喇叭开始发声,直到过整点时,在 5秒LED开始闪烁,过整点后,停止闪烁。

系统时钟选择时钟模块的10KHz,要得到1Hz时钟信号,必须对系统时钟进行10,000次分频。

调整时间的的按键用按键模块的S1和S2,S1调节小时,每按下一次,小时增加一个小时,S2调整分钟,每按下一次,分钟增加一分钟。

另外用S8按键作为系统时钟复位,复位后全部显示00-00-00。

扩展内容:用16*16点阵显示实现日期的动态显示用4×4键盘阵列键盘替换按键实现日期、时钟的调整,用液晶显示模块日期、时间的显示。

1.4设计环境本次课题设计方要用到的开发环境是Altera公司的EDA设计工具软件QuartusII。

Altera公司的工作与EDA厂家紧密结合,使QuartusII软件可以与其它工业标准的设计输入、综合和校验工具相连接。

电子技术课程设计报告---多功能数字时钟

电子技术课程设计报告---多功能数字时钟

电子技术课程设计数字钟的设计一、设计任务与要求1.能直接显示“时〞、“分〞、“秒〞十进制数字的石英数字钟。

2.可以24小时制或12小时制。

3.具有校时功能。

可以对小时和分单独校时,对分校时的时候,停顿分向小时进位。

校时时钟源可以手动输入或借用电路中的时钟。

4.整点能自动报时,要求报时声响四低一高,最后一响为整点。

5.走时精度高于普通机械时钟〔误差不超过1s/d〕。

二、方案设计与认证1、课题分析数字时钟一般由6个局部组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器,译码器和显示器组成计时系统。

秒信号送入计数器进展计数,把累计的结果以“时〞、“分〞、“秒〞的十进制数字显示出来。

“时〞显示由二十四进制计数器、译码器和显示器构成,“分〞、“秒〞显示分别由六十进制计数器、译码器构成。

其原理框图如图1所示。

2、方案认证〔1〕振荡器振荡器是计时器的核心,主要用来产生时间标准信号,也叫时基信号。

数字钟的精度,主要取决于时间标准信号的频率及稳定度。

振荡器的频率越高,计时的精度就越高,但耗电量将增大。

一般采用石英晶体振荡器经过分频后得到这一信号,也可采用由555定时器构成的多谐振荡器作为时间标准信号。

〔2〕分频器振荡器产生的时基信号通常频率都很高,要使它变成能用来计时的“秒〞信号,需由分频器来完成。

分频器的级数和每级的分频次数要根据时基频率来定。

例如,目前石英电子钟多采用32768 Hz的标准信号,将此信号经过15级二分频即可得到周期为1s的“秒〞信号。

也可选用其他频率的时基信号,确定好分频次数后再选择适宜的集成电路。

〔3〕计数器数字钟的“秒〞、“分〞信号产生电路都由六十进制计数器构成,“时〞信号产生电路由二十四进制计数器构成。

“秒〞和“分〞计数器用两块十进制计数器来实现是很容易的,它们的个位为十进制,十位为六进制,这样,符合人们通常计数习惯。

“时〞计数也可以用两块十进制计数器实现,只是做成二十四进制。

《数字电子技术》课程设计说明书多功能数字钟电路设计

《数字电子技术》课程设计说明书多功能数字钟电路设计

学号:课程设计题目多功能数字钟电路设计学院信息工程学院专业通信工程班级通信0804班姓名指导教师2010 年7 月 6 日课程设计任务书学生姓名:专业班级:通信工程0804班指导教师:工作单位:题目: 多功能数字钟电路设计初始条件:数字电子技术基础知识、模拟电子技术基础知识、电子技术实验室、NE555、74LS90、74LS48、7段数码管。

要求完成的主要任务:1、电路原理图设计和相关参数的确定。

2、电路仿真3、设计报告的撰写时间安排:指导教师签名:年月日系主任(或责任教师)签名:年月日摘要本设计是基于555定时器产生脉冲的多功能数字钟,能够分别显示时、分、秒,还能够对时钟做校时操作,本设计时钟还具有整点报时功能。

从555定时器输出1KHZ的脉冲经过三个串联计数器分频分别为100HZ、10HZ和1HZ的时间脉冲,其中1HZ脉冲作为秒脉冲输入,10HZ作为校时电路输入。

秒脉冲输入时间计数部分,计数部分由六个计数器组成,每两个分别计数秒、分和时。

计数器输出输到到7端数码管译码器,再书到数码管进行显示出时间。

校时电路工作时,封锁计数器的进位信号,由10HZ给出计数信号,方便校时。

当分计数部分要向时计数部分进位时,给出的欲进位信号驱动扬声器,发出进位信号,到达报时的目的。

AbstractThe design is based on the pulse 555 timing produce multifunctional digital clock, can show, minutes and seconds on the clock, also can make the operation, the school also has the clock strike on the design. From 1KHZ 555 timing of pulse output by three series counter frequency respectively, 10HZ 100HZ 1HZ and the time pulse, including 1HZ pulse as seconds, 10HZ as input pulses at school input circuit. Seconds count part time input pulses, count by six counter composition, every two seconds, and were counting. To counter the output lost 7 digital tube decoder, book to digital tube show time. When school work, blockade circuit, signal by the binary counter counts, convenient 10HZ given signal when the school. When points to count part when, is part of binary counter to carry signals, a binary signals drive the speaker, arrive the strike.目录1.引言 (1)2、多功能数字钟 (2)任务 (2)、要求 (2)基本要求 (2)2.2.2 扩展功能 (2)数字钟电路系统的组成原理框图 (2)3、方案设计与选择 (3)3.1 振荡器的设计 (3)3.1.1 振荡器的选择 (3)3.1.2 参数确定 (4)3.2 分频设计 (5)3.3 时分秒计数器的设计 (5)3.3.1 时计数部分设计 (5)3.3.2 分、秒计数部分设计 (6)校时电路设计 (7)3.5 整点报时电路设计 (7)显示部分 (8)3.7 总体设计电路图 (9)4、元器件的选择 (11)振荡器NE555 (11)4.1.1. NE555的特点 (11)4.1.2 NE555引脚位配置说明 (11)4.2 计数器74LS90 (12)4.3 译码器74LS48 (13)5、电路仿真 (15)5.1 振荡器部分的仿真 (15)5.1.1 NE555输出结果仿真 (15)5.1.2 NE555输出和电容C1波形 (15)5.2 分频器的仿真 (16)5.3 时间显示仿真 (17)5.4 整点报时、校时电路仿真 (18)6总结 (19)附录元件清单 (20)参考文献 (21)1.引言时钟是现在最基本最常见的生活用品,随着现代人们的忙碌,似乎每一个角落都装上时钟才能满足人们对知晓时间的需求,现在很多宿舍楼,商场等都装上了时钟。

多功能数字时钟课程设计

多功能数字时钟课程设计

多功能数字时钟课程设计多功能数字时钟是一种十分常见且使用广泛的计时工具,随着数字化的推进,数字时钟在人们的日常生活中扮演着越来越重要的角色。

针对数字时钟的课程设计就显得尤为重要。

在下面的文章中,我们将针对多功能数字时钟的课程设计分步骤地进行阐述。

第一步:课程目标的制定在开展数字时钟课程的设计之前,需要明确该课程的教学目标。

数字时钟涉及到的知识点比较多,目标制定的准确性和明确性可以使得教学更加高效。

该课程目标的一些重要的方面包括:1. 了解数字时钟的组成和工作原理。

2. 掌握数字时钟的常用功能和操作方式。

3. 能够利用数字时钟进行实际生活中的计时操作。

4. 学会数字时钟的基本维护和保养。

第二步:课程内容的选择数字时钟涉及到的内容很多,但是每个人的知识背景在一个不同的水平,一些学生可能只知道数字时钟的基本概念。

在选择课程内容时,要根据学生的背景进行选择,使得课程设计具有一定的针对性。

一些重要的课程内容有:1. 数字时钟的结构。

2. 常见数字时钟的类型。

3. 如何使用数字时钟进行计时操作。

4. 数字时钟的维护和保养。

第三步:课程教学方法的选择在数字时钟的课程教学中,教学方法是非常重要的。

如果教学方法不当,即使内容选择恰当,也可能使得学生无法真正理解和掌握数字时钟的重要性和使用方法。

一些教学方法有:1. 讲解式教学方法:教师直接讲解数字时钟的相关知识和技能。

2. 实验式教学方法:由学生亲自操作数字时钟,从而掌握使用方法。

3. 讨论式教学方法:教师引导学生进行交流和探讨。

第四步:课程评价方法的选择在数字时钟课程结束后,教学评价是必不可少的一件事。

这对于学生学习的效果检验和课程的改进都具有重要意义。

一些评价方法有:1. 笔试方式:通过让学生考试,检查学生对数字时钟的理解程度。

2. 实际操作方式:让学生在数字时钟的操作过程中检查他们是否真正理解。

3. 交流方式:从学生的角度出发,从而更好地了解数字时钟课程的情况。

多功能数字钟电路设计实验报告

多功能数字钟电路设计实验报告

多功能数字钟电路设计实验报告实验目的:设计一个多功能数字钟电路,能够显示当前时间,并具备闹钟、秒表和计时等功能。

实验原理:1. 数码管显示:使用4位共阴极数码管进行显示,采用BCD码方式输入。

2. 按键输入:使用按键进行时间的调节和选择功能。

3. 时钟频率:使用晶体振荡器提供系统时钟,通过分频电路控制时钟频率。

实验器材:1. 4位共阴极数码管2. 按键开关3. 74LS90分频器4. 时钟晶体振荡器5. 耐压电容、电阻等元件6. 电路连接线实验步骤:1. 连接电路:根据电路原理图,将数码管、按键开关、74LS90分频器、晶体振荡器等连接起来,注意接线正确。

2. 编写程序:根据实验要求,编写相应的程序,实现时钟、闹钟、秒表和计时等功能。

3. 调试电路:将电路通电并运行程序,观察数码管的显示情况和按键功能是否正常。

4. 测试功能:分别测试多功能数字钟的时钟、闹钟、秒表和计时等功能,确保功能正常。

5. 完善实验报告:根据实验结果和观察情况,完善实验报告,并附上电路原理图、程序代码等。

实验结果:经过调试和测试,多功能数字钟电路能够正常显示时间,并具备时钟、闹钟、秒表和计时功能。

使用按键进行时间调节和功能选择,数码管根据不同功能进行相应的显示。

实验总结:通过本次实验,我掌握了多功能数字钟电路的设计原理和实现方法,并且了解了数码管显示、按键输入、时钟频率控制等相关知识。

实验过程中,我发现电路连接正确性对功能实现起到关键作用,同时合理编写程序也是确保功能正常的重要环节。

通过实验,我对数字电路的设计和实现有了一定的了解,并且培养了动手实践和解决问题的能力。

多功能数字时钟设计报告

多功能数字时钟设计报告

多功能数字时钟设计报告目录一.设计任务和要求 (2)二.设计的方案的选择与论证 (2)三.电路的设计计算与分析 (4)四.总结及心得 (12)五.附录 (14)六.参考文献 (15)一设计任务和要求:实现24小时的时钟显示、校准、整点报时、闹铃等功能。

具体要求:(1)显示功能:具有“时”、“分”、“秒”的数字显示(“时”从0~23,分0~60,秒0~60)。

(2)校时功能:当刚接通电源或数字时钟走时有偏差,能动手对“时”、“分”、“秒”进行校时。

(3)整点报时:当时钟计时到整点时,能进行整点报时。

(4)闹铃功能:在24小时之内,可以设定定时时间,当数字时钟到定时时间时能进行.二设计的方案的选择与论证2.1.总体设计方案与思路系统用十进制的计数器分别构成一个二十四、两个六十进制的计数器,串联连接计数器、并加秒脉冲,使“秒”、“分”、“时”进位,从而数字时钟的显示显示功能;系统应用校时电路实现时钟的校时功能;系统应用译码电路将整点识别出来,同时进行报时;系统用寄存器先把闹铃响的时间存储起来,再用数值比较器,将寄存器存储的时间与实际时间点比较,如果相等,则闹铃工作,从而实现了时钟的闹铃功能。

多功能数字时钟的电路原理结构图如下图所示:图1.多功能数字时钟的电路原理结构图上图中:开关S2的作用,当S2置于左侧时数字时钟正常显示时间,当置于右侧时,数字时钟进行调时,此时若寄存电路的开关合闭则进行设置闹铃时间.2.2.方案的具体实现由于本电路的复杂性,电路图多的特点,本方案采用了子电路与主电路相结合的方案,这样易于调试和修改。

另外采用了总线的电路连线方式使电路图简洁美观。

1.总体电路的设计总体电路如图所示:DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUESONALERT600 Hz总体电路是由小时计时电路、分钟计时电路、秒钟计时电路、校时选择电路、整点译码电路、闹钟的寄存与比较电路、和由555定时器构成的秒脉冲电路等七个子电路模块连接在一起,和外接开关和数码管构成。

(数电)多功能数字钟—设计报告

(数电)多功能数字钟—设计报告

1、设计内容及要求:①基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为24进制,并要求手动快校时、校分。

②扩展功能:整点报时。

2、系统设计原理:系统要求:数字电子钟由555集成芯片构成的振荡电路、计数器、译码器、显示器和校时电路组成。

555集成芯片构成的振荡电路产生的信号作为秒脉冲,秒脉冲送入计数器,计数结果通过“时”、“分”、“秒”译码器显示时间。

在功能方面,对于本次综合设计,还要求有校时与整点报时功能。

方案设计:图1. 数字钟电路框图电子钟的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。

因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。

主电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。

系统工作原理:秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,用555振荡器来实现。

将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计时器,可实现对一天24小时的累计。

译码显示电路将“时”、“分”、“秒”计数器的输出状态用七段显示译码器译码,通过七段显示器显示出来。

校时电路时用来对“时”、“分”显示数字进行校对调整。

3.单元电路的设计:3.1、基于555电路的秒脉冲发生器的设计3.1.1用555芯片设计一个多谐振荡器,输出方波用作计数器。

脉冲频率公式:f=1/(R1+2R2)C㏑2选择R1=1K,R2=5K,RV1=2K,C=100nF,形成电路图如图所示:图2. 555振荡器电路图仿真波形如图所示图3. 555脉冲仿真波形图555振荡器输出f=1000HZ,通过分频得出1HZ的脉冲,此脉冲当做秒时针脉冲。

《电子技术课程设计报告》:多功能数字钟电路设计与仿真

《电子技术课程设计报告》:多功能数字钟电路设计与仿真

电子技术课程设计报告——多功能数字钟电路设计与仿真目录一、实验名称 (1)二、用途 (1)三、主要技术指标 (1)四、设计步骤 (1)1、数字钟的构成 (1)2、各模块设计 (2)(1)石英晶体振荡器 (2)(2)分频器 (3)(3)分秒计时器 (4)(4) 小时计时器 (4)(5)译码器与数码管 (5)(6)校时电路 (6)(7)整点报时电路 (6)(8)闹钟电路 (7)五、电路仿真及调试 (8)六、元器件明细表 (8)七、小结 (10)一、实验名称多功能数字钟电路设计与仿真二、用途数字钟是一种用数字电路技术实现时、分、秒计时的钟表。

与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,已得到广泛的使用。

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定,通常使用石英晶体振荡器电路构成数字钟。

三、主要技术指标①时间以24小时为一个周期;②数值显示时、分、秒;③有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;④具有整点报时功能,当时间到达整点前5秒进行蜂鸣报时;⑤具有闹钟功能,当时间到达预设的时间进行蜂鸣闹铃;⑥为了保证计时的稳定及准确须由石英晶体振荡器提供时间基准信号。

四、设计步骤1、数字钟的构成数字式计时器应由秒发生装置、计秒,计分,计时部分、时间显示部分、时间校正和闹钟报时等几部分组成。

所涉及的电子器件主要有振荡器、加法计数器、译码器、显示器、寄存器、比较器等。

其中,振荡器组成标准秒信号发生器;由不同进制的计数器、译码器和显示器组成计时,显示系统;寄存器和比较器构成定点报时系统。

其结构原理图如下:该系统的主要工作原理是:①振荡器产生高稳定的高频脉冲信号,作为数字钟的时间基准(系统时钟),再经分频器输出标准秒脉冲信号。

②秒计数器计满60后向分计数器进位,分计数器计满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。

数字电路课程设计数字时钟报告

数字电路课程设计数字时钟报告

数字电路课程设计数字时钟报告数字电路课程设计数字时钟介绍•数字电路课程设计是一门重要的电子工程课程,旨在培养学生在数字电路设计领域的能力和技巧。

•数字时钟是数字电路设计项目中一个典型的案例,可以通过该项目加深对数字电路原理和实践的理解。

设计目标•开发一个功能完备、性能稳定的数字时钟电路。

•通过数字时钟项目,培养学生的数字电路设计能力、团队合作能力和解决问题的能力。

设计步骤1.分析需求:确定数字时钟的功能和性能要求,例如显示精度、时钟模式、闹钟功能等。

2.确定器件:根据设计需求,选择适合的数字电路和组件,如时钟发生器、计数器、显示器等。

3.设计电路原理图:根据需求和选择的器件,绘制数字时钟的电路原理图。

4.进行逻辑设计:使用数字逻辑门和触发器等器件,实现数字时钟的各个功能模块。

5.进行测试:将电路搭建并连接,对数字时钟进行功能和性能测试。

6.优化和修改:根据测试结果,优化和修改电路设计,确保数字时钟的稳定性和可靠性。

7.编写报告:总结设计过程,记录问题和解决方案,描述数字时钟的设计和实现。

设计要点•确保数字时钟的显示精度和稳定性,避免数字闪烁或误差较大。

•采用合适的计数器和时钟发生器,确保数字时钟能准确计时和显示时间。

•考虑数字时钟的功耗和可靠性,选择适合的电源和元器件。

•在设计中考虑数字时钟的扩展性和功能性,如增加闹钟、温湿度显示等功能。

结论•数字时钟设计是数字电路课程中有趣而实用的项目,能够培养学生的实践能力和创造力。

•通过数字时钟项目,学生可以通过实践掌握数字电路设计的方法和技巧,提高解决问题的能力和团队协作能力。

•数字时钟设计也是一个不断优化和改进的过程,通过反复测试和修改,可以得到一个性能稳定、功能完备的数字时钟电路。

大连理工大学数电实验报告

大连理工大学数电实验报告

大连理工大学本科实验报告题目:数字钟课程名称:数字电路课程设计学院(系):电子信息工程专业:电子班级:电子1301班学生姓名:陈冠谋学号:201383022完成日期:2015年7月18日成绩:2014 年7 月18 日注意:本页要求放在实验报告第一页课程设计得分表考勤课程设计50分考试40分作业合计最后得分一、数字钟课程设计要求:1、设计一个具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)计时器。

2、整点报时。

两种方法任选其一:⑴发出仿中央人民广播电台的整点报时信号,即从59分50秒起,每隔2秒钟发出一次低音“嘟”的信号,连续5次,最后一次要求高音“嘀”的信号,此信号结束即达到整点。

“嘟”是500Hz左右的频率输出,“嘀”是1000Hz左右的频率输出⑵通过LED闪烁实现,闪烁频率及花型可自己设计并在这里说明。

3、手动校时、校分、校秒。

4、定时与闹钟功能,能在设定的时间发出闹铃声。

5、设计一个秒表,显示1%秒到60秒、手动停止。

6、设计一个倒计时,显示小时、分钟、秒。

7、其他创新。

第1题25分,其他每题5分二、课程设计考试(40分,每题分):考试题目:1、实体名□2、计数器□3、异步清零□4、进位输出□5、仿真图□6、数码管输出□7、分频□8、元件例化□9、引脚分配□10、下载□一、设计要求1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz ,可由系统脉冲分频得到。

2、整点报时,可通过LED 闪烁实现,闪烁频率及花型可自己设计。

3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。

4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED 闪烁实现。

二、设计分析及系统方案设计数字计时器一般都由振荡器、分频器、计数器、译码器、显示器等几部分组成。

其中振荡器和分频器组成标准秒信号发生器,由不同进制的计数器、译码器和显示器组成计时系统。

多功能数字钟—数电课程设计报告

多功能数字钟—数电课程设计报告

1.设计任务与要求1.1产生1HZ的脉冲;1.2能显示时,分,秒,24小时进制;1.3可手动校正:能分别进行分、时的校正。

只要将开关置于手动位置。

可分别对分、时进行连续脉冲输入调整;1.4整点报时。

2.系统原理框图由振荡器输出稳定的高频脉冲信号作为时间基准,秒计数器满60向分计数器进位,分计数器满60向小时计数器进位,小时计数器按“24翻1”规律计数,计数器经译码器送到显示器;计数出现误差可用校时电路进行校时、校分、校秒,可发挥部分:使数字钟具有可整点报时与定时闹钟的功能。

数字钟的结构框图如图1所示图1数字钟的结构框图3.设计方案与论证3.1时间脉冲产生电路方案一:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。

555与RC振荡电路如图2所示图1 555与RC组成的多谐振荡器图方案二:振荡器是数字钟的核心。

振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路。

石英晶体振荡器的作用是产生时间标准信号。

因此,一般采用石英晶体振荡器经过分频得到这一时间脉冲信号。

石英晶体振荡电路如图3所示图 2 石英晶体振荡器图方案三:由集成逻辑门与RC组成的时钟源振荡器门电路组成的振荡电路如图4所示图 3 门电路组成的多谐振荡器图用555组成的脉冲产生电路: R1=47kΩ,R2=47kΩ,C=10μF,则555所产生的脉冲的为:f=1/[(R1+2*R2)CLn2=1Hz,而设计要求为1Hz,在精度要求不是很高的时候可以使用。

石英晶体振荡电路:采用的32768晶体振荡电路,其频率为32768Hz,然后再经过15分频电路可得到标准的1Hz的脉冲输出.R的阻值,对于TTL门电路通常在0.7~2KΩ之间;对于CMOS门则常在10~100MΩ之间。

由门电路组成的多谐振荡器的振荡周期不仅与时间常数RC有关,而且还取决于门电路的阈值电压VTH ,由于VTH容易受到温度、电源电压及干扰的影响,因此频率稳定性较差,只能用于对频率稳定性要求不高的场合。

大连理工大学数字电路课程设计报告_多功能数字时钟设计说明

大连理工大学数字电路课程设计报告_多功能数字时钟设计说明

理工大学本科实验报告题目:多功能数字时钟设计完成日期:2014 年7月16日2014 年7 月16 日题目:多功能数字时钟设计1设计要求1)具有“时”、“分”、“秒”及“模式”的十进制数字显示功能;2)具有手动校时、校分功能,并能快速调节、一键复位(复位时间12时00分00秒);3)具有整点报时功能,从00分00秒起,亮灯十秒钟;4)具有秒表功能(精确至百分之一秒),具有开关键,可暂停、可一键清零;5)具有闹钟功能,手动设置时间,并可快速调节,具有开关键,可一键复位(复位时间12时00分00秒),闹钟时间到亮灯十秒钟进行提醒;6)具有倒计时功能(精确至百分之一秒),可手动设置倒计时时间,若无输入,系统默认60秒倒计时,且具有开关键,计时时间到亮灯十秒钟进行提醒,可一键复位(复位时间默认60秒)。

2设计分析及系统方案设计2.1 模式选择模块:按键一进行模式选择,并利用数码管显示出当前模式。

模式一:时钟显示功能;模式二:时钟调节功能;模式三:闹钟功能;模式四:秒表功能;模式五:倒计时功能。

2.2 数字钟的基本功能部分:包括时、分、秒的显示,手动调时,以及整点报时部分。

基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。

利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。

将该信号送入计数器进行计算,并把累加结果以“时”“分” “秒”的形式通过译码器由数码管显示出来。

具有复位按键1,在时钟模式下按下复位键后对时钟进行复位,复位时间12时00分00秒。

进入手动调时功能时,通过按键调节时间,每按下依次按键2,时钟时针加一,按下按键2 一秒未松手,时钟时针每秒钟加十;按键1对分针进行控制,原理与时针相同并通过译码器由七位数码管显示。

从00分00秒开始,数字钟进入整点报时功能(本设计中以一个LED灯代替蜂鸣器,进行报时),亮灯10秒钟进行提示。

2.3 多功能数字钟的秒表功能部分:计时围从00分00.00秒至59分59.99秒。

大连理工大学数电实验报告

大连理工大学数电实验报告

大连理工大学本科实验报告题目:数字钟课程名称:数字电路课程设计学院(系):电子信息工程专业:电子班级:电子1301班学生姓名:陈冠谋学号:201383022完成日期:2015年7月18日成绩:2014 年7 月18 日注意:本页要求放在实验报告第一页课程设计得分表一、数字钟课程设计要求:1、设计一个具有‘时'、‘分'、‘秒'的十进制数字显示(小时从00~23)计时器。

2、整点报时。

两种方法任选其一:⑴发出仿中央人民广播电台的整点报时信号,即从59分50秒起,每隔2秒钟发出一次低音“嘟”的信号,连续5次,最后一次要求高音“嘀”的信号,此信号结束即达到整点。

“嘟”是500Hz 左右的频率输出,“嘀”是1000Hz左右的频率输出⑵通过LED闪烁实现,闪烁频率及花型可自己设计并在这里说明。

3、手动校时、校分、校秒。

4、定时与闹钟功能,能在设定的时间发出闹铃声。

5、设计一个秒表,显示1%秒到60秒、手动停止。

6、设计一个倒计时,显示小时、分钟、秒。

7、其他创新。

第1题25分,其他每题5分二、课程设计考试(40分,每题分):考试题目:1、实体名□2、计数器□3、异步清零□4、进位输出□5、仿真图□6、数码管输出□7、分频□8、元件例化□9、引脚分配□10、下载□一、设计要求1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。

2、整点报时,可通过LED闪烁实现,闪烁频率及花型可自己设计。

3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。

4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。

二、设计分析及系统方案设计数字计时器一般都由振荡器、分频器、计数器、译码器、显示器等几部分组成。

其中振荡器和分频器组成标准秒信号发生器,由不同进制的计数器、译码器和显示器组成计时系统。

多功能数字钟设计实验报告

多功能数字钟设计实验报告

多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。

然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。

本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。

二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。

为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。

2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。

我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。

3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。

用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。

4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。

通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。

5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。

三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。

2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。

3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。

包括时间显示、日期显示、闹钟功能、温湿度显示等。

4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。

可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。

5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。

例如,优化显示效果、增加功能扩展等。

大连理工大学数字电路课程设计报告-多功能数字时钟设计说明

大连理工大学数字电路课程设计报告-多功能数字时钟设计说明

(理工大学本科实验报告题目:多功能数字时钟设计;课程名称:数字电路与系统课程设计学院(系):信息与通信工程学院专业:电子信息工程{班级:学生:学号:完成日期: 2014年7月16日2014 年 7 月 16 日(题目:多功能数字时钟设计1 设计要求1) 具有“时”、“分”、“秒”及“模式”的十进制数字显示功能;2) 具有手动校时、校分功能,并能快速调节、一键复位(复位时间12时00分00秒);3) 具有整点报时功能,从00分00秒起,亮灯十秒钟;4) 具有秒表功能(精确至百分之一秒),具有开关键,可暂停、可一键清零;5) 具有闹钟功能,手动设置时间,并可快速调节,具有开关键,可一键复位(复位时间12时00分00秒),闹钟时间到亮灯十秒钟进行提醒;6) 具有倒计时功能(精确至百分之一秒),可手动设置倒计时时间,若无输入,系统默认60秒倒计时,且具有开关键,计时时间到亮灯十秒钟进行提醒,可一键复位(复位时间默认60秒)。

"2 设计分析及系统方案设计模式选择模块:按键一进行模式选择,并利用数码管显示出当前模式。

模式一:时钟显示功能;模式二:时钟调节功能;模式三:闹钟功能;模式四:秒表功能;模式五:倒计时功能。

数字钟的基本功能部分:包括时、分、秒的显示,手动调时,以及整点报时部分。

基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。

利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。

将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。

具有复位按键1,在时钟模式下按下复位键后对时钟进行复位,复位时间12时00分00秒。

进入手动调时功能时,通过按键调节时间,每按下依次按键2,时钟时针加一,按下按键2一秒未松手,时钟时针每秒钟加十;按键1对分针进行控制,原理与时针相同并通过译码器由七位数码管显示。

从00分00秒开始,数字钟进入整点报时功能(本设计中以一个LED灯代替蜂鸣器,进行报时),亮灯10秒钟进行提示。

多功能数字钟课程设计报告整理版

多功能数字钟课程设计报告整理版

《数电》课程设计多功能数字钟作者班级学号老师日期多功能数字钟课程设计一、设计目的1.掌握数字电路系统的设计方法、装调技术及数字钟的及功能扩展电路的设计。

2.熟练、合理的选用集成电路器件。

3.熟悉Multisim10.0软件的使用。

4.熟悉数字钟原理组成中的组合逻辑电路和时序电路。

二、设计要求1.以一昼夜24小时为一个计数周期准时报时,以数字形式显示时、分、秒的时间。

2.校正时间。

3.具有整点报时功能,要求整点前鸣叫五次低音(500HZ左右),整点时再鸣叫一次高音(1000HZ左右),共鸣叫6响,两次鸣叫的间隔为1秒。

4.电路组要采用TTL集成电路,尽可能简化电路,选用同类型的器件,在Multisim10.0电子工作平台上进行电路的设计和仿真。

三、设计原理1.系统工作原理:振荡器产生的稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准秒脉冲。

秒计数器计满60后向分计数器进位,分计数器计满60后向小时计数器进位,小时计数器按照“12翻1”规律计数。

计数器的输出经译码器送数码管显示器。

计时出现误差时可以用校时电路进行校时、较分、较秒。

数字钟电路系统的组成框图:四、数字钟单元电路设计1.振荡器的设计振荡器是数字钟核心,振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路。

一般来说,振荡器的频率越高,计时精度越高。

此次实验我用的是由集成电路定时器NE555和RC组成的多谐振荡器。

图2 振荡器电路2.分频器的设计分频器的功能主要有两个:一是产生标准秒脉冲信号;而是提供功能拓展电路所需要的信号。

此次实验用的是二—五—十进制异步计数器74LS90,每片为10分频,3片级联则获得所需要的频率信号:第1片的Q0端输出频率为500HZ,第2片的Q3输出频率为10HZ,第3片的Q3端输出频率为1HZ.。

数字电路课程设计-多功能数字时钟设计报告

数字电路课程设计-多功能数字时钟设计报告

多功能数字时钟设计报告目录一、设计任务和要求 (2)二、设计的方案的选择与论证 (2)(1) 总体电路分析 (2)(2) 仿真分析 (3)(3) 仿真说明 (3)三、电路设计计算与分析 (4)(1)小时计时电路 (4)(2)分钟计时电路 (5)(3)秒钟计时电路 (7)(4)校时选择电路 (8)(5)整点译码电路 (9)(6)定时比较电路 (11)(7)脉冲产生电路 (12)四、总结及心得 (13)五、附录 (15)(1)元器件明细表 (15)(2)附图 (17)六、参考文献 (17)一、设计任务和要求实现24小时的时钟显示、校准、整点报时、闹铃等功能。

具体要求:(1)显示功能:具有“时”、“分”、“秒”的数字显示(“时”从0~23,分0~59,秒0~59)。

(2)校时功能:当刚接通电源或数字时钟有偏差时,可以通过手动的方式去校时。

(3)整点报时:当时钟计时到整点时,能进行整点报时。

(4)闹铃功能:在24小时之内,可以设定定时时间,当数字时钟到定时时间时能进行报时提醒。

二、设计的方案的选择与论证(1)总体电路分析总体电路设计是将单元电路模块小时计时电路、分钟计时电路、秒计时电路、校时选择电路、整点译码电路、闹钟电路等模块连接在一起,外接输入开关和输出显示数码管构成。

总体结构图如下:(2)仿真分析单击运行按钮,可观测仿真结果。

电路能完成显示计时、校时、整点报时以及闹铃等功能。

○1计时功能。

当开关S1、S2都处于左边触点时,数字时钟工作于计时状态。

此时,电路中的秒计时电路、分计时电路以及小时计时电路分别对秒脉冲、分脉冲和小时脉冲进行计数。

计数结果经数码管显示计时时间值。

○2校时功能。

当开关S1、S2都处于右边触点时,数字时钟工作于校时状态。

按瞬态按钮B键,可以选择对“小时”、“分钟”和“秒钟”进行校时。

校时时通过开关S3(按C键)手动输入校时时间。

○3整点报时功能。

整点译码电路通过识别整点时间,产生整点报时信号。

数电课设大作业数字钟

数电课设大作业数字钟

大连理工大学本科实验报告题目:数字钟课程名称:数字电路课程设计学院(系):电信专业:电气班级: 1201学生姓名:学号:完成日期: 2014.11.23成绩:2014 年 11 月 23 日课程设计得分表一、数字钟课程设计要求:1、设计一个具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)计时器。

2、整点报时。

两种方法任选其一:⑴发出仿中央人民广播电台的整点报时信号,即从59分50秒起,每隔2秒钟发出一次低音“嘟”的信号,连续5次,最后一次要求高音“嘀”的信号,此信号结束即达到整点。

“嘟”是500Hz左右的频率输出,“嘀”是1000Hz左右的频率输出⑵通过LED闪烁实现,闪烁频率及花型可自己设计并在这里说明。

3、手动校时、校分、校秒。

4、定时与闹钟功能,能在设定的时间发出闹铃声。

5、设计一个秒表,显示1%秒到60秒、手动停止。

6、设计一个倒计时,显示小时、分钟、秒。

7、其他创新。

第1题25分,其他每题5分二、课程设计考试(40分,每题分):考试题目:1、实体名□2、计数器□3、异步清零□4、进位输出□5、仿真图□6、数码管输出□7、分频□8、元件例化□9、引脚分配□10、下载□题目:数字钟(1.大连理工大学电信学院,辽宁大连,116023;2. 大连理工大学电工电子实验中心,辽宁大连,116023;)1.设计要求一、电子表部分:(1)由晶振电路产生1HZ的校准秒信号。

(2)设计一个具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)计时器具有手动校时、校分,校秒和清零的功能。

(3)整点报时功能,通过LED闪烁实现,此实验LED灯亮一秒。

二、秒表部分:(1)有晶振产生100HZ的校准0.01秒信号。

(2)设计一个有“时”、“分”、“秒”、“0.1秒”、“0.01秒”(23小时59分59秒99)显示功能(3)具有开始计时暂停计时功能和清零功能三、具有电子表和秒表状态切换。

四、划出框图和逻辑电路图,写出设计。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

说明
晶振 50MHz 时钟输入
模式选择按键 复位按键 高位设置键 地位设置键 闹钟开关 秒表开关 倒计时开关 闹钟提示灯 倒计时提示灯 整点报时提示灯 时针高位数码管显示
Байду номын сангаас
时针低位数码管显示
秒针高位数码管显示
秒针低位数码管显示
模式显示高位(默认全灭)
qgs[4] qgs[3] qgs[2] qgs[1] qgs[0] qgg[6] qgg[5] qgg[4] qgg[3] qgg[2] qgg[1] qgg[0] qfs[6] qfs[5] qfs[4] qfs[3] qfs[2] qfs[1] qfs[0] qfg[6] qfg[5] qfg[4] qfg[3] qfg[2] qfg[1] qfg[0] 4 系统的 VHDL 设计
2 设计分析及系统方案设计
2.1 模式选择模块:按键一进行模式选择,并利用数码管显示出当前模式。模式一: 时钟显示功能;模式二:时钟调节功能;模式三:闹钟功能;模式四:秒表功能;模式五: 倒计时功能。 2.2 数字钟的基本功能部分:包括时、分、秒的显示,手动调时,以及整点报时部分。
基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用 DE2 硬件中 提供的 50MHZ 晶振,经过分频得到周期为 1s 的时钟脉冲。将该信号送入计数器进行计算, 并把累加结果以“时” “分” “秒”的形式通过译码器由数码管显示出来。 具有复位按键 1, 在时钟模式下按下复位键后对时钟进行复位, 复位时间 12 时 00 分 00 秒。 进入手动调时功能时,通过按键调节时间,每按下依次按键 2,时钟时针加一,按下按 键 2 一秒内未松手,时钟时针每秒钟加十;按键 1 对分针进行控制,原理与时针相同并通过 译码器由七位数码管显示。 从 00 分 00 秒开始,数字钟进入整点报时功能(本设计中以一个 LED 灯代替蜂鸣器, 进行报时) ,亮灯 10 秒钟进行提示。 2.3 多功能数字钟的秒表功能部分:计时范围从 00 分 00.00 秒至 59 分 59.99 秒。可由 复位键 0 异步清零,并由开关 1 控制计时开始与停止。 将 DE2 硬件中的 50MHZ 晶振经过分频获得周期为 0.01 秒的时钟脉冲,将信号送入计 数器进行计算,并把累计结果通过译码器由七位数码管显示 2.4 多功能数字钟的闹钟功能部分:进入闹钟功能模式后,通过按键 2(设定小时)和
OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT OUT
PIN_AA24 PIN_Y22 PIN_W21 PIN_V21 PIN_V20 PIN_V13 PIN_V14 PIN_AE11 PIN_AD11 PIN_AC12 PIN_AB12 PIN_AF10 PIN_R3 PIN_R4 PIN_R5 PIN_T9 PIN_P7 PIN_P6 PIN_T2 PIN_T3 PIN_R6 PIN_R7 PIN_T4 PIN_U2 PIN_U1 PIN_U9 分针低位数码管显示 分针高位数码管显示 模式显示低位
大连理工大学本科实验报告
题目:多功能数字时钟设计
课程名称: 学院(系) : 专 班 业: 级:
数字电路与系统课程设计 信息与通信工程学院 电子信息工程
学生姓名: 学 号: 2014 年 7 月 16 日
完成日期:
2014

7

16

题目:多功能数字时钟设计
1 设计要求
1) 具有“时” 、 “分” 、 “秒”及“模式”的十进制数字显示功能; 2) 具有手动校时、 校分功能, 并能快速调节、 一键复位 (复位时间 12 时 00 分 00 秒) ; 3) 具有整点报时功能,从 00 分 00 秒起,亮灯十秒钟; 4) 具有秒表功能(精确至百分之一秒) ,具有开关键,可暂停、可一键清零; 5) 具有闹钟功能,手动设置时间,并可快速调节,具有开关键,可一键复位(复位时 间 12 时 00 分 00 秒) ,闹钟时间到亮灯十秒钟进行提醒; 6) 具有倒计时功能(精确至百分之一秒) ,可手动设置倒计时时间,若无输入,系统 默认 60 秒倒计时,且具有开关键,计时时间到亮灯十秒钟进行提醒,可一键复位(复位时 间默认 60 秒) 。
port(selectmode:in std_logic; mode:buffer std_logic_vector(2 downto 0) ); end component; component gnxz --功能选择模块元件例化 port(zt:in std_logic_vector(2 downto 0); sz1,sz2,sz3,sz4,sz5,sz6:in std_logic_vector(3 downto 0);--时钟显示信号 mb1,mb2,mb3,mb4,mb5,mb6:in std_logic_vector(3 downto 0);--秒表显示信号 nz3,nz4,nz5,nz6:in std_logic_vector(3 downto 0);--闹钟显示信号 djs1,djs2,djs3,djs4,djs5,djs6:in std_logic_vector(3 downto 0);--倒计时显示信号 s1,s2,s3,s4,s5,s6,s7,s8:out std_logic_vector(3 downto 0)--输出, 送入显示模块用数码 管进行显示 ); end component; component fp --分频模块元件例化 port(clk,rst:in std_logic; clk10ms,clk100ms,clk1s:out std_logic); end component; component sz --时钟模块元件例化 port(zt:in std_logic_vector(2 downto 0); clk,clk100ms,rst,add,dec:in std_logic; sz1,sz2,sz3,sz4,sz5,sz6:buffer std_logic_vector(3 downto 0); ledbs: out std_logic ); end component; component nz --闹钟模块元件例化 port(startnz,add,dec,rst,clk,clk100ms:in std_logic; zt:in std_logic_vector(2 downto 0); sz2,sz3,sz4,sz5,sz6:in std_logic_vector(3 downto 0);--时钟显示信号 nz3,nz4,nz5,nz6:buffer std_logic_vector(3 downto 0);--闹钟显示信号] lednz:out std_logic --闹钟提示灯输出 ); end component; component mb --秒表模块元件例化 port(zt:in std_logic_vector(2 downto 0); clk,rst,startmb:in std_logic; mb1,mb2,mb3,mb4,mb5,mb6:buffer std_logic_vector(3 downto 0) ); end component;
4.1 顶层设计 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity liyabin is --实体声明,及系统输入与输出 port(clk,rst,add,dec,selectmode,startmb,startnz,startdjs:in std_logic; qgs,qgg,qss,qsg,qfs,qfg,qms,qmg:buffer std_logic_vector(6 downto 0); ledbs,lednz,leddjs:out std_logic ); end; architecture data of liyabin is component mode --模式循环模块元件例化
3.2 系统硬件电路设计
系统硬件模拟图:
50MHZ KEY[0] KEY[1] KEY[2] KEY[3] Sw[0] Sw[1] Sw[2]
LED[djs] LED[nz] 分 别 与 数 码 管 相 连 LED[bs]
3.3 系统与引脚分配
接口名称 类型(输入/输出) IN clk IN selectmode IN rst IN add IN dec IN startnz IN startmb IN startdjs OUT lednz OUT leddjs OUT ledbs OUT qss[6] OUT qss[5] OUT qss[4] OUT qss[3] OUT qss[2] OUT qss[1] OUT qss[0] OUT qsg[6] OUT qsg[5] OUT qsg[4] OUT qsg[3] OUT qsg[2] OUT qsg[1] OUT qsg[0] OUT qms[6] OUT qms[5] OUT qms[4] OUT qms[3] OUT qms[2] OUT qms[1] OUT qms[0] OUT qmg[6] OUT qmg[5] OUT qmg[4] OUT qmg[3] OUT qmg[2] OUT qmg[1] OUT qmg[0] OUT qgs[6] OUT qgs[5]
引脚号 PIN_N2 PIN_W26 PIN_G26 PIN_P23 PIN_N23 PIN_N25 PIN_N26 PIN_P25 PIN_AE23 PIN_AE22 PIN_Y18 PIN_N9 PIN_P9 PIN_L7 PIN_L6 PIN_L9 PIN_L2 PIN_L3 PIN_M4 PIN_M5 PIN_M3 PIN_M2 PIN_P3 PIN_P4 PIN_R2 PIN_W24 PIN_U22 PIN_Y25 PIN_Y26 PIN_AA26 PIN_AA25 PIN_Y23 PIN_Y24 PIN_AB25 PIN_AB26 PIN_AC26 PIN_AC25 PIN_V22 PIN_AB23 PIN_AB24 PIN_AA23
相关文档
最新文档