-自动售货机的设计
自动售货机服务方案设计
设计自动售货机服务方案时,需要考虑以下几个关键因素:
1. 选择合适的位置:选择高流量、易于访问的位置放置自动售货机,例如商场、办公楼、学校等人流密集的地方。
2. 产品选择和库存管理:根据目标客户群体和需求,选择适当的产品种类和品牌。
确保库存充足,及时补货,并实施库存管理系统以避免过期或损坏货物。
3. 多样化的支付方式:提供多种支付方式,例如现金、刷卡、移动支付等,以满足不同用户的支付需求。
4. 身份验证和安全措施:考虑在自动售货机中引入身份验证功能,如人脸识别或二维码扫描,以确保只有授权用户可以购买商品。
同时,采取必要的安全措施,防止盗窃或破坏行为。
5. 提供良好的用户体验:确保自动售货机界面简洁友好,易于操作。
提供清晰的商品信息、价格和营养成分等相关信息。
并保持机器的清洁和维护,定期进行维护和故障排除。
6. 数据监控和分析:安装监控系统以追踪销售数据和库存情况,并通过数据分析来优化产品选择、定价策略和补货计划。
7. 售后服务:建立售后服务体系,及时响应用户反馈和投诉,并确保设备的正常运行和维护。
8. 环境友好和可持续性:选择节能环保的自动售货机,减少能源消耗和废物产生。
鼓励使用可回收包装和环保材料。
综上所述,设计自动售货机服务方案需要综合考虑位置选择、产品管理、支付方式、安全措施、用户体验、数据分析、售后服务和环境可持续性等因素,以提供便捷、安全、高效的服务,满足用户需求,并实现商业目标。
自动售货机PLC设计(带很十分详细的梯形图等)
自动售货机PLC设计目录一、引言 (1)二、控制要求 (2)三、设计任务 (2)四、系统总体方案设计方案4.1 系统硬件配置及组成原理 (3)4.2 系统变量定义及分配表 (3)4.3 系统接线图设计 (4)4.4系统可靠性设计 (4)五、控制系统设计5.1 控制程序流程图设计 (5)5.2 控制程序时序图设计 (6)5.3 控制程序设计思路 (7)5.4 编程系统的调试 (7)5.5创新设计内容 (7)六、上位监控系统设计6.1 PLC与上位监控软件通讯 (8)6.2 上位监控系统组态设计 (8)6.3 实现的效果 (12)七、系统调试及结果分析7.1 系统调试及解决的问题 (12)7.2 结果分析 (13)八、结束语 (13)九、参考文献 (14)十、附录 (14)一:引言可编程序控制器(Programmable Logic Controller )简称PLC, 是一种以微处理器为核心的用于工程自动控制的工业控制机,其本质是一台工业控制专用计算机。
它的软、硬件配置与计算机极为类似,只不过它比一般计算机具有更强的与工业过程相连接的接口和更直接的适应于控制要求的编程语言。
硬件主要由中央处理单元CPU、存贮器、输入/输出单元以及编程器、电源和智能输入/输出单元等构成.PLC是一种专为在工业环境应用而设计的数字运算电子系统,它是以微处理机为基础,综合了计算机技术、自动控制技术和通信技术等现代科技而发展起来的一种新型工业自动控制装置,是当今工业发达国家自动控制的标准设备之一。
自动售货机是集声、光、机、电于一体的智能科技产物,进入21世纪,自动售货机也将进一步向节省资源和能源以及高功能化的方向发展。
使未来的自动售货机不仅仅具有售货功能,而是更加多元化,及人性化;如:手机支付、远程联机管理、个性的LCD广告显示屏、更节能。
自动售货机的普及在某种程度上能反映一个国家的科技发展水平,是一个国家文明程度的象征。
自动售货机的控制设计
1 0 0
第 一
1. 引言
自动售货机是完成无人自动售货,集光、机、电一体化的商业自 动化设备。自动售货机不受任何场地限制,方便快捷,可以每天24h 售货,近年来,我国的自动售货机行业突飞猛进,在汽车总站、商厦、 医院、小区、学校等地区纷纷涌现出自动售货机的踪迹。传统的自动 售货机控制采用单片机作为控制核心,这样不仅会在输入输出接口上 做大量的工作,而且系统的抗干扰性也比较差,可编程控制器(PLC) 是综合了计算机技术、自动控制技术和通讯技术而发展起来的一种新 型的工业控制装置,它具有可靠性高、编程简单、维护方便等优点, 已在工业控制领域得到广泛地应用,因为自动售货机作为社会上完成 商品零售和综合服务的独立设施,要求它的结构必需可靠、稳定和执 行效率高。
0.05
0.5元投币钮 11.04
输出 L1灯
功能
L2灯
取物口
A0
C0 A。顾客投币,显示投入总值,即余额数值。 (2)选择步。按下想要购买的选择按钮。 (3)取物口灯亮。则有饮料输出。 (4)显示余额。当按下退币按钮后,显示出余额。
到此为止,自动售货机的一个完整工作过程结束。
74LS48是七段数码管的驱动器。其各引脚功能如下: LT:做灯泡测试用,当LT=0,则不论其它输入状态为何,其输出 abcdefg=1111111,使七段显示器全亮,即显示8,以便观测七段显示器是否正常。 当LT=1,则正常解码。 RBI:脉冲消隐输入,当RBI=0 (LT 为1 时) 则不论DCBA 之输入为何, 其输出abcdefg皆为0,即七段显示器完全不亮,此脚可供使用者控制仅对有效数 据译码,避免在无意义的数据输入时显示出来造成字型的系乱。 BI/RBO:消隐输入/脉冲消隐输出,当BI/RBO为高电平时,无论其他输入 端输入为何,其输出abcdefg皆为0,即七段显示器完全不亮。
自动售货机的毕业设计
自动售货机的毕业设计【篇一:自动售货机毕业设计】摘要本文介绍了自动售货机的基本原理以及工作流程,售货机的售货领域非常广泛,是发达国家商品零售的一种主要方式。
近几年,自动售货机逐渐被越来越多的消费者所接受。
但由于售货机分布零散、范围广阔的特点。
本文以一次交易过程为例,把交易过程分为几个程序块,然后分别对程序块进行编程。
具体说明了可编程序控制器在自动售货机中的作用。
程序涉及到了自动售货机工作的绝大部分过程,绘制梯形图对售货过程进行了分析。
利用plc控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。
关键词:自动售货机;可编程控制器;梯形图abstractthis article introduced vending machines basic principle as well as the work flow, introduces the basic principle of vending machines and work flow, and then to a transaction process, for example, the process is divided into several blocks, then respectively for program for programming block.then take a transaction process as examples, divides into several blocks the transaction process, then carries on the programming separately to the block. explained the programmable controllers in vending machine function specifically. the procedure involved the vending machine work major part process. enhanced systems stability using the plc controls vending machine, the guarantee vending machine has been able the long-term stability movement.key words:vending machine; programmable controller; trapezoidal chart目录摘要........................................................................................................ . (i)abstract ............................................................................................. (ii)第1章绪论........................................................................................................ . (1)1.1自动售货机研究的目的和意义 (1)1.2自动售货机的历史 (2)1.3自动售货机的市场展望 (3)1.4自动售货机功能分析 (4)第2章 plc功能介绍 (6)2.1 plc的选型原则 (6)2.2 plc的概述 ....................................................................................................... .72.2.1 plc的产生 (7)2.2.2 plc的定义 (8)2.2.3 plc的发展趋势 (8)2.2.4 plc的特点 (10)2.2.5 plc的主要功能 (11)2.3 plc的基本结构及原理 (13)2.3.1 plc的系统结构 (13)2.3.2 plc各部分的作用 (13)2.4 三菱可编程控制器产品功能介绍 (16)2.4.1 功能指令类型 (16)2.4.2功能指令的通用表达形式 (16)2.4.3 三菱plc内部继电器的功能及编号 (17)2.4.4 程序流程控制功能指令 (18)第3章 plc的系统设计 (25)3.1 可编程控制系统设计的基本原则 (25)3.1.1 控制系统设计原则 (25)3.1.2 控制系统设计的基本内容 (25)3.1.3 控制系统设计的一般步骤 (26)3.1.4 编写梯形图的注意事项 (26)3.1.5 程序设计的步骤 (26)3.2 程序设计部分 (27)3.2.1设计控制要求 (27)3.2.3自动售货机外部接线图 (28)3.2.4 梯形图和顺序功能图介绍 (29)3.2.5 三菱编程软件fxgpwin介绍 (30)3.2.6 售货过程分析 (32)第4章出货部分 (35)4.1 出货原理........................................................................................................ ..354.2 出货部件简图介绍 (35)结束语........................................................................................................ .. (41)致谢........................................................................................................ (42)参考文献........................................................................................................ . (43)第1章绪论自动售货机是一种全新的商业零售形式,20世纪70年代自日本和欧美发展起来。
智能售货机设计与实现
智能售货机设计与实现智能售货机是一种利用先进科技研发的自助售货设备,实现24小时自助购买的服务。
随着科技的不断发展,市场上的智能售货机越来越多,功能也越来越强大。
本篇文章将从智能售货机的设计、实现以及运营等多个方面进行探讨。
一、智能售货机的设计智能售货机的设计需要考虑众多因素,如售卖的商品种类、支付方式、屏幕尺寸、系统界面等。
为了符合消费者不同需求,设计人员需结合市场需求,进行多方面权衡和考虑。
1. 商品种类相较于传统的售货机,智能售货机可以更加智能化和个性化。
商品种类也可以根据场所和需求进行定制,如学校售货机可以售卖学生喜欢的零食和饮料,娱乐场所售货机则可以售卖娱乐用品等等。
2. 支付方式现在的智能售货机可以支持多种支付方式,如刷卡、支付宝、微信、人脸识别、指纹识别等。
设计人员需要考虑到支付方式的安全性和方便性。
3. 屏幕尺寸智能售货机的屏幕尺寸需要与场所大小相匹配。
大的屏幕具备更好的显示效果,但与此同时,它们也需要更多的能源来保持运行。
设计人员需要根据场所的大小、观众的数量以及同类型售货机的竞争情况来权衡屏幕尺寸。
4. 系统界面智能售货机的界面设计需要具备更加美观、直观、简洁的特点。
并且需要解决消费者提问时对系统操作的问题,以及售货机出现故障时,如何帮助消费者解决问题等问题。
二、智能售货机的实现智能售货机的实现需要依靠多项技术,如人工智能技术、机器视觉技术、无线通信技术等。
以下是几种常见的实现途径:1. 人工智能识别技术人工智能可以通过视觉识别技术来确定商品的种类和品牌,并将它们与库存相对应。
它可以识别条形码、二维码等商品的标识符,并在售货时供货。
2. 机器视觉技术通过机器视觉技术,智能售货机可以检测客户是否正确地选择了商品,并且可以确认是否有物品被放在了错误的位置上。
它还可以检查消费者使用现金或信用卡支付时是否产生了任何错误。
3. 无线通信技术智能售货机可以通过网络进行通信,其可支持无线目标网络,利用无线传感器的数据通信,进而实时监测货架的物品库存和售货数据。
自动售货机设计方案
自动售货机设计方案1.自动售货机的工作流程分析以一次交易商品的过程为例,把交易过程分为几个模块,然后分别对各个模块进行编程控制,利用PLC及组态软件模拟实现自动售货机的功能。
一次交易过程分为四个状态,初始状态、投币状态、购买状态、退币状态。
工作流程如下图所示:2.交易过程的分析①初始状态:由电子标签显示各商品价格,显示屏显示友好界面,此时不能购买任何商品。
②投币状态:按下投币按钮,显示投币框,按下所投币值显示屏显示投入、消费、余额数值,当所投币值超过商品价格时,相应价格选择按钮发生变化,提示可以购买。
③购买状态:按下可以购买的选择按钮,所选的商品的指示灯亮,同时显示屏上的金额数字根据消费情况相应变化。
④退币状态:按下退币按钮,显示退币框,同时显示出应退币值及数量。
按下确认钮,则恢复初始状态。
3.自动售货机设计方案设计一台具有完整功能的销售汽水和绿茶的自动售货机。
具有硬币识别、币值累加、自动售货、自动找钱功能。
此售货机可识别的硬币包括0.5元和1元。
汽水的售价为2元,绿茶的售价为2.5元。
自动售货机在接受到钱币已输入的前提下,通过触摸相应的触摸按钮输入信号使控制器实现相应的动作,将货物输出。
规定当投入的币值超过2元时,汽水指示灯亮;当投入的币值超过2.5元时,汽水指示灯和绿茶指示灯同时亮。
当汽水指示灯亮时,按汽水按钮,汽水从自动售货机自动售出,汽水指示灯闪烁,10s后自动停止闪烁。
当绿茶指示灯亮时,按绿茶按钮,绿茶从自动售货机自动售出,绿茶指示灯闪烁,10s后自动停止闪烁。
当按下汽水按钮或者绿茶按钮后,如果投入的币值超过购买货物所需币值,则找钱指示灯亮,自动售货机退出多余钱币,10s后自动停止。
如果自动售货机发生故障或者顾客不想购买了(未按汽水按钮或者绿茶按钮),可按复位按钮,则自动售货机如数退出货币。
5.PLC控制系统的设计有三个钱币输入按钮,00001代表0.1元,00002代表0.5元,00003代表1元。
智能自动售货机的研究与设计
智能自动售货机的研究与设计随着科技的不断发展与创新,智能自动售货机逐渐成为人们选择购物的方式之一。
相较于传统的实体店或是网购,智能自动售货机具有更快捷、便捷、安全等特点。
在这种趋势下,越来越多的企业开始关注并投入到这一领域中,不断探索智能自动售货机的研究与设计,以满足人们的需求和各个行业的实际情况。
一、创新技术和操作便捷性智能自动售货机拥有智能语音和智能屏幕等技术,更能接近消费者的需求,也让消费者在使用时感受到了更加的智能化、人性化和便捷性。
这样的技术创新呼唤了设计创意,例如,在售货机屏幕上增设二维码扫描等功能,让用户在使用售货机时更加便捷。
此外,智能自动售货机的停车定位和追踪功能可实现用于员工安全管理。
售货机上的相机可对员工进行识别,并自动打卡,员工可以通过手机APP查询考勤、薪资以及交流。
这样就避免了员工擅自私用售货机,同时实现了员工的安全管理。
二、多样商品展示和资料监控智能自动售货机除了可以销售零食、饮料等小清新产品外,还具有销售复杂产品的能力。
例如儿童玩具,等等。
更加方便的是售货机具有多元化的模块系统,通过不同的模块可以销售不同的商品。
同时,监控可以实时监测该售货机的库存情况和消费者购买喜好,以方便对售货机进行更好的商品调配,及时处理售货机内可能出现的短缺。
三、自驾获取货物最近新研发的一种新型智能自动售货机,还支持付款后开车直接驶入售货机,售货机将商品直接放进车里供自驾消费者自行获取。
这些售货机不仅现代化而且便捷实用。
自驾获取货物可以缓解人流压力和购买货物的时间压力。
当然了,这种类型的售货机成本较高,租用费用也较贵。
四、售货机和其他网络管理系统的结合逐渐地售货机作为智能系统,基于物联网和互联网,应用和搭建用于商业和计算系统中的功能成为事实。
售货机的硬件设备条件更好,相应的普及和应用广泛。
随着售货机的推广,人们需要看到高效和安全的管理。
通过售货机系统与物流管理,供应链管理以及会员管理的系统的互动,可以使得数据更系统化和更动态化。
自动售货机毕业设计全文
自动售货机毕业设计全文目录1引言 (1)2 PLC的结构与特点 (2)2.1PLC的基本结构 (2)2.2PLC的特点 (2)2.3PLC的应用领域 (2)3 自动售货机的硬件设计 (2)3.1控制要求 (2)3.2光传感器 (4)3.3数码管显示 (5)3.4金属硬币的识别原理 (5)3.5硬币的贮存和退币 (6)4自动售货机的软件设计 (6)4.1设计思路 (6)4.2总体流程图 (6)4.3I/O口分配 (7)4.4控制接线图 (8)4.5程序开发 (8)4.5.1 计币系统 (9)4.5.2 比较系统 (9)4.5.3选择和饮料供应系统 (9)4.5.4退币系统 (10)4.5.5钱显示和制冷 (11)5 调试 (11)5.1系统的连接 (11)5.2PLC程序调试 (11)6结论 (12)参考文献 (12)附录 (13)1引言自动售货机(Vending Machine)起源于公元前215年的古埃及,当时用于人们在神庙里用金币购买圣水。
19世纪70年代,自动售货机在美国、日本迅猛发展,如今已成为世界上最大的现金交易市场。
作为一种前卫的零售方式,自动售货机已在45个国家广泛普及。
据统计,日本平均每23人就拥有一台自动售货机,美国平均每40人拥有一台自动售货机,美国自动售货机的零售营业额高达293亿美元,日本每个消费者每年在自动售货机上的消费金额达5.5元。
全世界每年通过自动售货机销售商品的总额已达2000亿美元。
近些年,随着我国商品市场的不断繁荣和城市现代化程度的不断提高,自动售货机也已悄然步入了我国的大中城市。
自动售货机在北京、上海、大连、沈阳、西安开始出现,成为不少年轻人时髦的购物选择。
在国家相关政府部门的大力支持下,中国的自动售货机产业以超常规的速度迅猛发展,并带动了一批新的服务业、物流运输配送业的快速发展,丰富了中国的商业产业结构,开创了一个全新的自动销售和自助服务时代。
本文设计自动售货机的目的在于,结合现实中的情况,在巩固和应用所学知识的前提下,充分发挥自己的动手和实际设计的能力,设计出一款简单实用的自动售货机。
自动售货机总体设计方案
自动售货机总体设计方案1. 引言自动售货机是一种以自动化技术为基础,能够提供商品的设备。
它具有自动售卖、货物储存和款项结算等功能,能够有效提高商品销售的效率。
本文档将介绍自动售货机的总体设计方案,包括硬件和软件两个方面。
2. 硬件设计2.1 设备结构自动售货机的设备结构分为四个主要部分:外壳、货架、货格和投币器。
- 外壳:由金属和塑料材料制成,具有防护和美观的功能。
- 货架:用于摆放商品,根据商品的种类和尺寸进行合理划分。
- 货格:用于存放商品,每个货格配备一个电子锁,以保证商品的安全性。
- 投币器:用于识别和接收硬币,同时向用户提供找零功能。
2.2 控制系统自动售货机的控制系统由主控板、显示屏、按键和传感器组成。
- 主控板:负责整个自动售货机的控制和管理。
- 显示屏:用于显示商品信息、价格和用户操作指导。
- 按键:用于用户选择商品和完成支付。
- 传感器:包括货箱传感器、温度传感器和货物检测传感器等,用于监测库存和环境参数。
2.3 电源系统自动售货机的电源系统主要包括电源适配器和备用电池。
- 电源适配器:将市电转换为适合自动售货机使用的电压和电流。
- 备用电池:在断电情况下,为自动售货机提供短时间的电源供应。
3. 软件设计3.1 系统架构自动售货机的软件系统分为前端和后端两部分。
- 前端:包括用户界面和交互逻辑,通过显示屏和按键与用户进行交互。
- 后端:包括商品管理、库存管理和支付管理等功能,通过主控板和传感器与硬件系统进行交互。
3.2 用户界面用户界面提供商品浏览、选择和支付等功能。
- 商品浏览:显示商品的名称、价格和剩余数量,让用户选择自己所需的商品。
- 商品选择:用户通过按键选择商品和数量,系统会显示所选商品的总价。
- 支付方式:用户选择一种支付方式,如硬币、支付宝或微信支付。
- 支付确认:用户确认支付金额,并投入相应的硬币或扫码付款。
3.3 商品管理商品管理包括商品信息的维护和更新。
自动售货机的设计及仿真
自动售货机的设计及仿真任务和要求:自动售货机可销售橡皮(0.5元)、铅笔(1元)、直尺(1.5元)、记录本(2元)4种商品,数量无限。
只能投入1元或5角硬币,当投入的币值等于或大于商品的价钱且确认购买时,两个输出口分别输出购买的商品和找零,当投币后取消购买,退回投入的硬币,假设零币无限。
顾客一次只能购买一种商品的一个,若需要更多商品,需要重复操作。
1)基本功能:商品选择;投币购买;出货、找零、退钱。
2)显示功能:有两个LED数码管显示已经投入的币值或找零数系统总体设计:基本功能模块:商品选择模块;投币处理模块;、出货、找零、退钱模块。
自动售货机控制系统共含4个状态:初始状态、投币状态、出货及找零、退钱状态。
1)初始状态(selegoods):表示一次投币销售过程的开始,此状态期间,可选择商品,不允许投币。
2)投币状态(incoins):计算并记录投入总币值,此状态期间,允许投币,不允许选择商品。
3)出售及找零状态(outgoods_coin):根据选择商品的价格及投入的总币值,决定是否出货及找零。
4)退钱状态(back_coin):投币后取消购买,退回投入的硬币。
状态转换图如下表示:商品选择模块:功能:选择一种商品获得此商品的价格。
商品选择模块的元件图符商品选择模块的VHDL描述。
注意:为了直观显示商品的价格,价格选择integer,进行功能仿真时,选择价格为unsigneddecimal 类型。
功能仿真波形及说明:说明:当en=1时,选择eraser,此商品的价格为5角,price=5。
当en=0时,商品选择模块禁止工作,price保持不变。
•投币处理模块计算并记录投入的总币值。
投币处理模块的VHDL描述:为了直观显示投入总币值,总币值total选择integer,进行功能仿真时,选择total为unsigned decimal 类型。
功能仿真及说明:说明:当en=1时,投入一枚5角、一枚一元、一枚5角硬币,总币值为20即2元。
自动售货机的优化设计及运行管理系统研究
自动售货机的优化设计及运行管理系统研究自动售货机已经成为我们生活中常见的一种购物方式,但是,我们有没有注意过自动售货机的优化设计及运行管理系统呢?一、自动售货机的优化设计自动售货机的优化设计是指对自动售货机的各个方面进行科学的设计与改进,提高其功能性、可靠性、方便性和安全性。
(一)功能性功能性是指自动售货机在使用过程中所拥有的功能。
为了提高自动售货机的功能性,需要在以下三个方面进行改进:1.硬件方面:最基本的功能是出货,如果自动售货机在出货时出现故障,将会导致购物者的不满和退货,给维修人员带来很大的负担,造成货架积压等问题。
因此,在硬件设计层面上,需要采用更加耐用可靠的机械结构,使用高质量的电子元器件,保证自动售货机的正常使用。
2.软件方面:自动售货机所搭载的软件需要完善的用户接口,在购物者购物时,界面友好且易于操作,能够自动识别用户的购物需要,提供各种功能选择,如多样化的支付方式,货品的选择策略等。
3.智能方面:自动售货机还需要具有分析商品销量、库存等信息,并给予相应的优化策略,个性化推荐、根据消费者的购物习惯智能调整货品位置、出货顺序等,使售货机能够更好的吸引消费者的关注,并更好的服务消费者。
(二)可靠性自动售货机一般是设置在公共场所,例如酒店,停车场,等场所。
投放于公共场所的售货机具有一定的风险,因此,要加强自动售货机的可靠性。
可行的方法包括以下方面:1.巡视检查:定期人工的巡视检查自动售货机的外部环境和使用情况,以发现存在的问题。
2.专业维护:安排专业人员,定期进行机器维护和维修。
3.提高机器品质:增加机器的应用寿命,同时加强硬件选材质量,提高下视机器的通用性。
(三)方便性方便性是指自动售货机在使用过程中的灵活性和方便性。
1.自动拼单功能:为了提高购物者的体验,售货机也需要自动拼单,节省购物者的时间,也可以减少空间浪费。
2.方便性也包括维护的方便性,使用保护方便性:给维修人员提供工具和处置的地点,同时保护元器件,防止被恶意破坏。
自动售货机智能化系统的设计与优化
自动售货机智能化系统的设计与优化首先,随着科技的进步和人们对便利的需求的提高,自动售货机已经成为公共场所和商业场所不可或缺的一部分。
随着市场的竞争日益激烈,自动售货机智能化系统的设计和优化变得尤为重要。
一、自动售货机智能化系统的设计自动售货机智能化系统的设计主要包括以下几个方面:1.硬件设计自动售货机智能化系统的硬件设计是关键的一环。
在设计硬件的过程中,需要保证系统的可靠性和稳定性。
硬件设计的主要内容包括:(1)自动售货机的整体结构设计。
设计师需要考虑到自动售货机的体积、重量、耐用性等因素。
一个合适的结构设计能够保证售货机安全、美观、易于维护。
(2)自动售货机的显示屏设计。
一个好的显示屏设计需要有足够的亮度和清晰度,同时需要能够显示商品信息和价格等重要信息。
(3)自动售货机的货道设计。
自动售货机的货道设计需要将不同种类的商品分类,同时能够容纳不同大小的商品,并能够保证商品在售货机中的安全性和便捷性。
2.软件设计自动售货机智能化系统的软件设计是整个系统的核心。
一个良好的软件设计能够实现售货机和用户之间的高效沟通。
软件设计涉及到以下几个方面:(1)自动售货机后台管理系统的设计。
后台管理系统需要能够实现售货机的维护和管理,同时需要具有远程管理功能,方便管理员随时随地进行管理。
(2)自动售货机支付系统的设计。
支付系统需要支持多种支付方式,例如现金支付和移动支付等。
(3)自动售货机智能识别系统的设计。
智能识别系统可以通过人工智能技术,辅助售货机实现自动识别商品信息、库存信息,并且能够根据用户的购买记录推荐适合的商品。
二、自动售货机智能化系统的优化自动售货机智能化系统的优化是一个持续的过程。
系统优化主要包括以下几个环节:1.数据分析优化通过对用户购买行为和商品库存的数据分析,可以得出许多值得优化的信息。
例如,售货机中商品的库存量是否合理?哪些商品销售量大?这些信息有助于管理员调整售货机库存和售货机摆放位置,以提高销售效率和用户体验。
自动售货机毕业设计
自动售货机毕业设计自动售货机毕业设计随着科技的不断发展,自动售货机逐渐成为人们生活中不可或缺的一部分。
无论是在商场、地铁站还是学校,自动售货机都能提供各种各样的商品,方便人们的购物需求。
在这个背景下,我决定选择自动售货机作为我的毕业设计课题。
首先,我将从自动售货机的设计与制造过程入手,探索其中的技术原理和工艺流程。
自动售货机的核心部件是货道系统,它能够自动分配货物并进行出售。
我将研究不同类型的货道系统,包括螺旋式货道和弹簧式货道,并比较它们的优缺点。
此外,我还将研究自动售货机的支付系统,如何实现快速、安全的支付过程,以及如何保护用户的个人信息。
其次,我将关注自动售货机的商品选择与管理。
自动售货机通常提供各种各样的商品,包括饮料、零食、日用品等。
在设计过程中,我将考虑如何根据用户的购买习惯和需求来选择合适的商品。
同时,我还将研究如何进行商品库存管理,确保自动售货机能够及时补货,避免商品的过期和损坏。
在设计过程中,我还将思考如何提升自动售货机的用户体验。
自动售货机的界面设计和交互方式对于用户来说非常重要。
我将研究如何设计简洁、直观的界面,以及如何提供便捷的操作方式。
此外,我还将考虑如何通过数据分析来了解用户的购买偏好,从而提供更加个性化的服务。
最后,我将探索自动售货机在未来的发展方向。
随着人工智能和物联网技术的不断进步,自动售货机有着更广阔的应用前景。
我将研究如何将人工智能技术应用于自动售货机,使其能够根据用户的需求自动调整商品的种类和数量。
同时,我还将研究如何将自动售货机与其他智能设备进行连接,实现更加便捷的购物体验。
综上所述,自动售货机毕业设计涉及到多个方面,包括设计与制造、商品选择与管理、用户体验以及未来发展方向。
通过深入研究和探索,我希望能够为自动售货机的发展做出一定的贡献,并为人们的购物体验带来更多的便利和舒适。
plc毕业设计自动售货机的设计
plc毕业设计自动售货机的设计PLC毕业设计:自动售货机的设计引言自动售货机作为一种智能化的零售设备,已经在我们的生活中变得越来越常见。
它不仅方便了消费者的购物体验,还提高了商家的销售效率。
本文将探讨PLC (可编程逻辑控制器)在自动售货机设计中的应用,并提供一种基于PLC的自动售货机设计方案。
一、自动售货机的功能需求在设计自动售货机之前,我们首先需要明确其功能需求。
一般来说,自动售货机应具备以下功能:1. 商品展示和选择:自动售货机应该能够清晰地展示商品信息,并提供消费者选择的功能。
2. 支付和找零:自动售货机应该支持各种支付方式,例如硬币、纸币、信用卡等,并能够自动找零。
3. 库存管理:自动售货机应该能够实时监测商品库存,并在库存不足时及时补货。
4. 故障检测和维修:自动售货机应该能够自动检测故障,并提供相应的维修信息。
二、PLC在自动售货机中的应用PLC作为一种专门用于工业自动化控制的设备,其稳定性和可靠性得到了广泛的认可。
在自动售货机设计中,PLC可以用于实现以下功能:1. 硬件控制:PLC可以控制自动售货机的各种硬件设备,例如电机、传感器、显示屏等。
通过编写逻辑程序,可以实现商品的展示、支付和找零等功能。
2. 数据采集和处理:PLC可以通过连接各种传感器,实时采集自动售货机的运行数据,例如库存信息、销售数据等。
通过对这些数据的处理,可以实现库存管理和销售统计等功能。
3. 故障检测和维修:PLC可以监测自动售货机的各种故障,并根据预设的逻辑程序提供相应的维修信息。
这可以大大提高故障排除的效率,减少维修时间。
三、基于PLC的自动售货机设计方案基于以上对PLC在自动售货机中的应用,我们可以提出以下基于PLC的自动售货机设计方案:1. 硬件设计:选择适合的PLC型号,并根据自动售货机的需求,选择合适的电机、传感器、显示屏等硬件设备。
确保这些设备能够与PLC进行稳定的通信。
2. 逻辑程序设计:根据自动售货机的功能需求,编写逻辑程序。
plc控制的自动售货机毕业设计
plc控制的自动售货机毕业设计一、选题背景随着社会的不断发展,人们的生活水平也在不断提高。
在这样的背景下,自动售货机作为一种便捷、快速、安全的购物方式,越来越受到人们的青睐。
而PLC控制技术作为现代工业控制领域中应用最广泛的一种技术,其在自动售货机中的应用也越来越普遍。
二、研究目标本文旨在通过对PLC控制技术在自动售货机中的应用进行研究和分析,设计出一款具有较高实用性和可靠性的自动售货机系统。
三、研究内容1. 自动售货机系统结构设计本文首先对自动售货机系统进行了结构设计。
其中包括硬件部分和软件部分两个方面。
硬件部分主要包括:投币器、纸币器、显示屏、键盘、电机等组成;软件部分主要包括:PLC程序设计和人机界面设计两个方面。
2. PLC程序设计PLC程序是整个自动售货机系统中最核心的部分。
本文对PLC程序进行了详细设计,并采用了模块化编程的思想,将整个程序分为多个模块进行编写。
其中包括:货道控制模块、投币器控制模块、纸币器控制模块、显示屏控制模块、键盘控制模块等。
3. 人机界面设计人机界面是自动售货机系统中用户与系统交互的重要部分。
本文对人机界面进行了详细设计,采用了触摸屏的形式,使用户操作更加方便快捷。
同时,在界面设计中还考虑了用户体验和美观性等因素。
4. 系统测试和优化为了确保自动售货机系统的可靠性和稳定性,本文对系统进行了全面测试,并对系统中出现的问题进行了优化和改进。
最终得到一款具有较高实用性和可靠性的自动售货机系统。
四、研究意义本文通过对PLC控制技术在自动售货机中的应用进行研究和分析,设计出一款具有较高实用性和可靠性的自动售货机系统。
该研究成果不仅可以应用于商业领域,提高商品销售效率和顾客购物体验,还可以在工业领域中得到广泛应用,提高生产效率和质量。
五、总结本文对PLC控制技术在自动售货机中的应用进行了研究和分析,并设计出一款具有较高实用性和可靠性的自动售货机系统。
该系统不仅可以提高商品销售效率和顾客购物体验,还可以在工业领域中得到广泛应用。
EDA课程设计--自动售货机
EDA课程设计一自动售货机二、课程设计目的 (2)二、................................ 课程设计题目描述和要求2三、...................................... 课程设计报告内容23. 1、设计原理、方案论证 (2)3.2、设计过程 (2)3.2.1、系统框图 (2)3.2.2、设计总程序 (3)3.2.3、软件仿真 (4)3. 2. 4管脚定义 (5)3. 2. 5下载程序与仿真结果 (6)四、.................................... 设计总结和心得体会7参考文献 (8)附录 (8)一、课程设计目的:1)熟悉学习QuartusII的编程与调试方式,学会在QuartusII 中使用波形图进行软件仿真,并使用EDA6000进行硬件仿真与调试。
2)设计一个自动售货机,具有投币和选择商品等功能二、课程设计题目描述和要求:首先应搭建识别模块,将代表每种硬币的拨码开关信号和选择的商品信号转变为数码管显示信号。
两者要进行比较,如果钱数大于售出商品则对其进行求差,求差的结果作为找钱信号;如果等于直接售出商品;小于则报警。
程序中会有一个分频模块,对CLK进行分频,并有一个复位键。
三、课程设计报告内容3.1、设计原理、方案论证1)设说-一个自动售货机,此机能出售1元、2. 5元、3元、 3.5元的四种商品。
出售哪种商品可有顾客按动相应的按键即可, 并同时用数码管显示售出的是第几种商品;2)顾客可通过选择投入的钱数来购买商品,并且有一个确认键;3)如果选择投入的钱小于选择的商品价格,则显示出价格和选择的钱数,并且警告灯亮;4)如果选择投入的钱大于选择的商品价格,则显示出价格和找回的钱;5)此售货机有一个整体复位控制。
3. 2、设计过程3. 2. 1>系统框图:module autoseller |clk,ck z g, r3w,ni r led_gcocs z led_xcney_a z led_inoney_b r led_price_a f led_price__b,led^warn); input clk r ok z rst;//^J®r 謫走也渣 W確input [1:0] g; 〃货品选择键input [2:0] it;output [6:0]ledjnonev_b r led_price_b z led_coods;oucput [7:0]led_money_a r led_price^a;oucput iea_warn;rsg f_500;//分•频时钟reg [6:0]gocds;xcg led warn;integer a txp f price,xoney z noney a,money b r price a r price b;reg [6:0] led_jroney_b z led_price_b z led_gccds;rsg[7:0]led xcney a x led price a;alxays @ (pcsedge elk)beginif (a_tTO=499)beginr_5oo=-r_5oo; a_*inp<=0;endelsea_tnp<=a_tr^>41;endalways®(posedge f_500)beginif(rst=ljbeginxcney=0;price=o;led_warn=0;endelsebegin3・M00:Deam3'b30i:Deam3't>oio:Deain3・Mi2:teamprice=10;endprice=25;endprice=30;endprice=35;end10:begin25:cegin30:begin35:beginprice_t>=rrice-price_a w 10: case (noney_a)o :bsam led_irvoney_a=Blsb^am 1 e d_mon ey_a= B ・biooooi.l.0;er :a 2 :bsam led_nv2ney_a=B • bl 1011011; end 3: le d_nvoney_a=3'1511001111; end4 :b^gm led_cv2ney_a=8'1511100110;€1:<1 endcase case (money_b)0:begin led_xaoney_b=7 •i>011111i;end5:begin led_money_t>=7 ・61.203丄01; End endcaee case (prlce_a) 0:begin led_price_a=3•blOllllll^end 1:b«gin led_price_a —3 •blOOOOHO; end 2: B-gin led^price^a-S"bllOl1011;end 3:B-gin led^price^a-S■bliOOllll;end4 :k>-gin led_price_a —3 "blllGOHO; end endcase 0:begin led__price_b-7•bOllllll;end 5: begin lcd_price__b —7 • bii02101 ;cnd endcaseend end endnodulc3・2・3、软件仿真当选择钱数为100即为2元、选择商品为10即为3元时Jed 』arn 亮,led_price 和le(Lmoney\ led^good 分别显示应该显示的数据case (price__b)end*1 •17.?5”IX u>1«UC 5 irier/af20空530. [2 bS&BulatlOft ・od ・ T\ SUDCg 心)/0 ■ I i<U MUM--------------------------------------------------------------------------- 耳w 115 ■| 1亠NUM3- 2. 4管脚定义:-/ I29碍F0I IPlcdjx>x c[7.J) 1"WHIGiwuacs 曲. 31 ® fedjxke a[2] OU p.P0I 114 LW.<J^fcfefo...Irij欢e32 O "PggPUL113 UTT^UCCSyefa...33 0 w p6x 呦POi 112W JTO 母以 列a “皿闻P9LU1 皿uacs 陆・. ledjr^ej^oJ )35 ® Mgbraounraciio L/TTUQD5 怯饥. 拓 O Wjx>K_b{fl川P9L109 皿l 灿艾陆“砂tedj 如K amF0W ~1皿15)5 她. tedjxicej2(6・OS3OU pPflIK 皿116艾轴, ledj 血匝aQ衿也皿6贞 QUP FffIJJ ~pTTUVOO 如・•WjiKeJfo.XJ 40 0 lcdj )oceb[']i S ® 82 皿肋艾陆・, tedcr>x bfoj) ©[ ► A41 <J> ledjAam Ou qi RN.L30 Lvm&oiDs 诗... *2 IF n[2] Inp u* F0I L33 皿M 艾陆・,43 1*也 Inp utWI.B2 bmug 曲.M2..0]竹 IF n[0]I T F0I L31 皿側艾竝, 业q45• okInputP9LB7 皿ng 轴.,电46 IF 曲JWP0LL21L/TTUVO ■憐仕 fa.3. 2・5下载程序与仿真结果:商品选择第二个,投入钱币是5,结果显示中25为价格05为投入的钱,2是选择的商品,led_warn 亮;EDA6000/EDA2000 : E:\autoseller.Mod商品选择第一个,投币35,结果中10为商品价格,25为找零,1为选择的商品莒隧型』谖紡分折資口存睹甜|外部设备10管胆定黒|C lfDlJd 』r c ,d_pr i c«ACEX1K30A•d_none seLcood10 ==.06,05.0403.0201.0010 ==.17.16.15.141312.11 IO 25.2423.2221.20.19.18 IO ==.3433.32 29.28,27.26 IO 25,41.<39.38,37.36135LK L13 LI 2 L10 L9 LSj J J J J J j•30 01011011(5E)G1 DI ioi mo (Bs)G2 till I 111 (?F) G3 10110100(54) * tlO1tt1O(DE :i <35 11010010(02) 66 KC00101 (05) GT□rcoomo (03)LJ 八 J J J J J •-i J> LS L<12 L : 沁 AH :CLD)(KG0)| ECFIHt-JCLEJ g3】)| ioriHz ▼ I9 876 53 2 4 JI4 4 oooooooLA 7?5432100X100031 (01)四、设计总结和心得体会通过本次课程设计,我对Verilog HDL 语言有了更深刻的了解,能够比较 灵活地运用它来实现我们所想要要其实现的功能。
自动售货机毕业设计
自动售货机毕业设计
自动售货机是一种集电子技术、机械技术、计算机技术和网络技术于一体的新型设备。
它能够带来极大的便利和效益,广泛应用于餐饮、商场、机场、学校等场所。
本文将对自动售货机的设计与实现进行探讨。
自动售货机的设计需要考虑以下几个方面的因素:硬件设计、软件设计、运营模式和用户体验。
在硬件设计方面,自动售货机需要有一个稳定可靠的结构来保护货品和设备,同时需要配备显示屏、按键、货道等装置,以方便顾客的选择和购买。
物理层次的设计需要考虑产品的安全性和耐久性,以及对各种紧急情况的应对措施。
在软件设计方面,自动售货机需要具备扫码支付、支付宝、微信支付等多种支付方式,可以支持多语言、多媒体展示和云端数据同步等功能。
同时,还需要配备智能监控系统,用于实时监控设备状态、货物库存和销售数据,以便实现远程管理和业务分析。
运营模式方面,自动售货机可以采取自营、合作或代理等多种方式进行运营。
自动售货机的运营还需要考虑到货物的补货和库存管理,以及设备的维护和保养等问题。
用户体验方面,自动售货机需要提供简单、便捷、友好的界面和操作方式,以吸引顾客并促使其购买。
同时,还需要通过不断改进和优化,提供个性化的推荐和服务,以提升用户体验和
消费者满意度。
本文所述的自动售货机毕业设计700字,只是摘要部分,涵盖了自动售货机的硬件设计、软件设计、运营模式和用户体验等方面。
当然,自动售货机的设计和实现还有很多细节和困难需要克服,但只要充分考虑各个方面的因素,并合理利用现有的技术和资源,相信自动售货机一定能够为我们的生活带来更大的便利和效益。
自动售货机设计方案
自动售货机设计方案自动售货机是一种自动提供商品和服务的机器,它可以根据用户需求自动售卖商品,并支持现金交易和非现金支付。
下面是一个自动售货机的设计方案。
一、硬件设计:1. 外观设计:自动售货机应具有简洁、美观的外观设计,以吸引用户的注意力并使其愿意使用。
2. 显示屏:在机器上安装一个大尺寸的高清显示屏,用于显示商品信息、价格、促销活动等。
3. 选择器:在显示屏下方设置一个选择器,用户可以通过触摸屏幕选择要购买的商品。
4. 支付系统:自动售货机应该支持多种支付方式,如现金、银行卡、手机支付等,以满足不同用户的需求。
5. 库存管理:自动售货机应具有智能的库存管理系统,可以记录每个商品的销售情况,并在库存不足时及时通知管理员进行补货。
6. 温控系统:对于需要保持特定温度的商品,如饮料、冰淇淋等,自动售货机应具有温控系统,以确保商品的质量和口感。
7. 备份电源:为了避免停电时无法正常使用,可以在自动售货机内置备份电源,以确保设备的正常运行。
二、软件设计:1. 用户界面:自动售货机的界面应简单、直观,用户可以通过触摸屏幕浏览商品、下单、支付等操作。
2. 商品管理:管理员可以通过电脑或手机远程管理自动售货机上的商品信息,包括添加新商品、调整价格、设置促销活动等。
3. 销售统计:自动售货机应具有销售统计功能,可以记录每个商品的销售量和销售额,并生成报表供管理员分析。
4. 故障监测:自动售货机应具有故障监测系统,可以实时监测设备的运行状态,如感知到设备故障或故障,及时发送警报给管理员。
5. 客户服务:用户在使用自动售货机时可能会遇到问题,自动售货机应提供客户服务联系方式,用户在遇到问题时可以及时联系客服寻求帮助。
三、安全性设计:1. 防盗设计:自动售货机应具有防盗设计,以防止被盗或破坏。
例如,可以在机器上添加监控摄像头、警报系统和密码锁等。
2. 防火设计:在设计自动售货机时应考虑防火安全。
可以在机器上安装火灾报警装置和灭火装置,并定期对设备进行防火检查和维护。
自动售货机设计
自动售货机设计V e r i l o g(共10页)--本页仅作为文档封面,使用时请直接删除即可----内页可以根据需求调整合适字体及大小--自动售货机设计一、实验目的1、实现自动售货机的功能;2、运用状态机原理设计。
二、实验内容这种自动售货机只销售听装与瓶装两种罐装可乐,售价均为元。
顾客只能通过两个不同的投币口分别投入五角的硬币或者一元硬币。
一次交易可以买多罐,且自动找零。
若钱不够,则自动退币。
并且在购买之前只要一按下取消键cancel就马上无条件退币。
此机器的硬件示范电路,以二個按键电路代替二個投币孔,以两个八段数码管显示投币数量,再以4hz闪烁之led來显示退币,以两个八段数码管显示退币数量。
此机器就采用1024hz的系统clock信号同步所有的买卖行为,并且作为弹跳消除电路的基准参考脉冲。
这个电路虽然是纯数位电路但是可作为一个自动售货机的控制核心电路加上驱动器就可以用来驱动机械装置。
下图为自动售货机的操作盘,有两个投币按钮,分別为五角硬币与一元硬币。
每投入一个硬币就将下方的LED指示灯号点亮到具体数额,并且最高上限只能接受元的金额。
另外,每一种饮料商品都配置3个灯号(分别显示存货、选择数量与出货等三个信息)与一个选择购买的按钮。
每按一次选择购买按钮,选择数量显示加1,并且存货自动计算,若没有货存,则货存灯灭,一旦选择商品与投币金额足够,就需要按下确定要购买的按键;当然也可以后悔不买而按下取消键,让机器退回已经投入的硬币。
如若投入的钱币不足以购所有的饮料,则退币。
退币时货存更改为原状态。
三、程序设计moduleauto_vending(clk,cel_cola,cel_diet,reset,coin_ten,coin_five,ok_buy,cancel_buy,//输入led_cola_ok,led_diet_ok,led_buy,led_cancel,led_cola_out,led_diet_out,shu_cola_sel ,shu_diet_sel,led_display,shu_money_return,Hex);//输出input clk,cel_cola,cel_diet,reset,coin_ten,coin_five,ok_buy,cancel_buy;output led_cola_ok,led_diet_ok,//表示还有存货led_buy,led_cancel,//表示选择购买和取消购买led_cola_out,led_diet_out;//显示表示已出货//led_return;//每四秒闪烁一次代表被退之硬币wire [10:0]led_cola_sel,led_diet_sel;////选中饮料的数量output [6:0]shu_cola_sel,shu_diet_sel;output [13:0]led_display;//表示投币的金额output [13:0]shu_money_return;wire ok,cancel,money_ok;//在ok_or_cancel出来的//wire [10:0]no_diet,no_cola;//售货机内的饮料数量wire [10:0]money_return;//reg [10:0]no_sock_cola,no_sock_diet;wire [10:0]total_count,total_consum;output [13:0]Hex;assign Hex=14'b111_111_111_11111;parameter Idle = 2'd0,S1 = 2'd1,S2 = 2'd2;reg [1:0]state;reg clk_1;reg rst;reg [29:0]count;always@(posedge clk)//sheng cheng 1s de shi zhongbeginif(count==30'd)beginclk_1<=~clk_1;count<=0;endelsecount<=count+1;endalways@(posedge clk_1 or negedge reset)if(!reset)beginstate <=Idle;rst<=0;endelsecase (state)Idle: beginrst<=0;state<=S1;endS1: beginrst<=1;if(ok_buy||cancel_buy)state<=Idle;endendcasewire buyok;toubi u1(rst,clk,coin_five,coin_ten,led_display,total_count);select_drink u2(clk,rst,cel_cola,cel_diet,/*no_cola,no_diet,*/led_cola_sel,led_diet_sel,/*led_cola_ok,led_diet_ok,*/total_consum);ok_or_cancel u3(clk,rst,ok_buy,cancel_buy,ok,cancel,led_buy,led_cancel);give_check u4(reset,buyok,clk,ok,money_ok,rst,led_cola_out,led_diet_out, led_cola_sel,led_diet_sel,led_cola_ok,led_diet_ok/*no_cola,no_diet*/); coin_returnu5(clk,rst,ok_buy,money_return,total_count,total_consum,money_ok,cancel_buy,ca ncel);xianshi q1(led_cola_sel,shu_cola_sel);xianshi q2(led_diet_sel,shu_diet_sel);xianshi2 w2(money_return,shu_money_return);endmodule///显示数量的module xianshi(a,b); //用来显示input [10:0]a;output reg [6:0]b;always@(a)case(a)11'd0:b<=7'b1000000;11'd1:b<=7'b1111001;11'd2:b<=7'b0100100;11'd3:b<=7'b0110000;11'd4:b<=7'b0011001;11'd5:b<=7'b0010010;11'd6:b<=7'b0000010;11'd7:b<=7'b1111000;11'd8:b<=7'b0000000;11'd9:b<=7'b0010000;endcaseendmodule//钱数显示module xianshi2(total_count,led_display);input [10:0]total_count;output reg [13:0]led_display;always@(total_count)case(total_count)11'd0: led_display<=14'b1000000_1000000;11'd1: led_display<=14'b1000000_0010010;11'd2: led_display<=14'b1111001_1000000;11'd3: led_display<=14'b1111001_0010010;11'd4: led_display<=14'b0100100_1000000;11'd5: led_display<=14'b0100100_0010010;11'd6: led_display<=14'b0110000_1000000;11'd7: led_display<=14'b0110000_0010010;11'd8: led_display<=14'b0011001_1000000;11'd9: led_display<=14'b0011001_0010010;11'd10: led_display<=14'b0010010_1000000;11'd11: led_display<=14'b0010010_0010010;11'd12: led_display<=14'b0000010_1000000;11'd13: led_display<=14'b0000010_0010010;11'd14: led_display<=14'b1111000_1000000;11'd15: led_display<=14'b1111000_0010010;11'd16: led_display<=14'b0000000_1000000;11'd17: led_display<=14'b0000000_0010010;11'd18: led_display<=14'b0010000_1000000;11'd19: led_display<=14'b0010000_0010010;endcaseendmodule//去抖模块module qudou(clk,reset,k,key);input k,reset,clk;output reg key;reg [10:0]clock;always@(posedge clk)if(!reset)clock<=11'd0;elsebeginif(k)beginkey<=1;clock<=0;endelsebeginclock<=clock+1;if(clock==1000)beginclock<=11'b0;key=0;endendendendmodule/////投币电路module toubi(reset,clk,coin_05,coin_10,led_display,total_count);//加一个去抖模块input reset,clk,coin_05,coin_10;output [13:0]led_display;output reg[10:0]total_count;//统计投入里面的金币wire qu_coin_05,qu_coin_10;qudou a1(clk,reset,coin_05,qu_coin_05);qudou a2(clk,reset,coin_10,qu_coin_10);reg [10:0]count_1,count_2;always@(negedge qu_coin_05 or negedge reset)begin if(!reset)begincount_1<=11'd0;endelse if(!qu_coin_05)begincount_1 <= count_1+11'd1;endendalways@(negedge qu_coin_10 or negedge reset)if(!reset)begincount_2<=11'd0;endelse if(!coin_10)begincount_2<=count_2+11'd2;endalways@(count_1 or count_2)total_count<=count_2+count_1;xianshi2 a4(total_count,led_display);endmodule//饮料选择处理模块module select_drink(clk,reset,select_cola,select_diet/*,sock_cola,sock_diet*/, cola_sel,diet_sel,/*led_cola_ok,led_diet_ok,*/total_consum); input clk,reset,select_cola,select_diet;//input [10:0]sock_cola,sock_diet;//系统内部库存数量output [10:0]cola_sel,diet_sel;//选中的饮料数量reg [10:0]cola,diet;//output led_cola_ok,led_diet_ok;//除去选中的饮料外,是否还有余量output [10:0]total_consum;//消费金额reg [10:0]cola_sel,diet_sel;//reg led_cola_ok,led_diet_ok;wire select_cola_out,select_diet_out;qudou a3(clk,reset,select_cola,select_cola_out);qudou a4(clk,reset,select_diet,select_diet_out);reg [10:0]total_consum_2,total_consum_1,total_consum;always@(total_consum_2 or total_consum_1)total_consum<=total_consum_2+total_consum_1;always@(negedge reset or negedge select_cola_out )if(!reset)begincola_sel<=0;total_consum_1<=0;endelse if(!select_cola_out)begincola_sel<=cola_sel+1;total_consum_1<=total_consum_1+11'd3;endalways@(negedge reset or negedge select_diet_out)if(!reset)begindiet_sel<=0;total_consum_2<=0;endelse if(!select_diet_out)begindiet_sel<=diet_sel+1;total_consum_2<=total_consum_2+11'd3;end/*always@(posedge clk or negedge reset)if(!reset)beginled_cola_ok<=1;led_diet_ok<=1;endelsebegincola <= sock_cola;diet <= sock_diet;if(cola_sel >= cola)led_cola_ok <= 0;if(diet_sel >= diet)led_diet_ok <= 0;end*/Endmodule//确认与取消电路模块module ok_or_cancel(clk,reset,ok_buy,cancel_buy,ok,cancel,//给其他模块使用led_buy,led_cancel);//对外显示input clk,reset,ok_buy,cancel_buy;output reg ok,cancel;output reg led_buy,led_cancel;always@(posedge clk or negedge reset)if(!reset)beginok<=0;cancel<=0;led_buy<=0;led_cancel<=0;endelseif(ok_buy)beginok<=1;led_buy<=1;cancel<=0;led_cancel<=0;endelseif(cancel_buy)beginok<=0;cancel<=1;led_buy<=0;led_cancel<=1;endendmodule//出货并计算存货模块module give_check(reset,buyok,clk,ok,money_ok,rst,led_cola_out,led_diet_out, cola_out,diet_out,led_cola_ok,led_diet_ok/*no_cola,no_diet*/);input reset,clk,ok,rst,money_ok;input [10:0]cola_out,diet_out;//从售货机内取出的饮料数量output reg led_cola_ok,led_diet_ok;//显示是否还有饮料reg [10:0]no_cola,no_diet,sock_cola,sock_diet;//输出的饮料之后售货机内部剩余数量output led_cola_out,led_diet_out;//售货机输出饮料时led亮reg led_cola_out,led_diet_out;reg [1:0]state;parameter S1=2'd0,S2=2'd1,S3=2'd2;reg s1,s2;output reg buyok;always@(posedge clk or negedge reset)if(!reset)beginsock_cola<=11'd5;sock_diet<=11'd5;endelseif(!rst)beginled_cola_out<=0;led_diet_out<=0;state<=S1;s1<=0;s2<=0;no_cola<=sock_cola;no_diet<=sock_diet;buyok<=0;endelsecase(state)S1:if(ok && money_ok)beginif(cola_out > 0)beginled_cola_out <= 1;no_cola<=no_cola - cola_out;s1<=1;endif(diet_out > 0)beginled_diet_out <= 1;no_diet<=no_diet - diet_out;s2<=1;endstate<=S2;endS2:beginstate<=S2;buyok<=1;sock_cola<=no_cola;sock_diet<=no_diet;endendcasealways@(posedge clk or negedge rst)if(!rst)beginled_cola_ok<=1;led_diet_ok<=1;endelsebeginif(cola_out >= no_cola)led_cola_ok <= 0;if(diet_out >= no_diet)led_diet_ok <= 0;endendmodule//退币处理电路模块modulecoin_return(clk,reset,ok_buy,led_return,total_count,total_consum,money_ok,cancel _buy,cancel);input clk,ok_buy,reset,cancel_buy,cancel;//return_clk来指定给退币闪烁警示灯input [10:0]total_count,total_consum;output reg [10:0]led_return;//用来显示退出货币的总数output reg money_ok;always@(posedge clk or negedge reset)if(!reset)beginled_return<=0;money_ok<=0;endelseif(cancel_buy)beginled_return<=total_count;money_ok<=0;endelseif(total_count >= total_consum)if(ok_buy)beginled_return<=total_count-total_consum;money_ok<=1;endelsebeginmoney_ok<=0;endendmodule四、实验结果在DE2开发板上实现了自动售货机的功能。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
安康学院FPGA/SOPC课程设计报告书课题名称:自动售货机的设计姓名:学号:院系:电子与信息工程系专业:指导教师:时间:课程设计项目成绩评定表设计项目成绩评定表课程设计报告书目录设计报告书目录一、设计目的 (1)二、设计思路 (1)三、设计过程 (1)3.1、系统方案论证 (1)3.2、模块程序设计 (2)3.3、各单元模块功能介绍及电路设计 (4)四、仿真结果 (6)4.1、设计电路图 (6)4.2、程序生成的原理图 (7)4.3、仿真图 (8)五、对应变量的管脚配置表 (8)六、课程设计体会与建议 (9)6.1、设计体会 (9)6.2、设计建议 (10)七、参考文献 (10)一、设计目的1)熟悉学习QuartusII的编程与调试方式,学会在QuartusII中使用波形图进行软件仿真,并使用EDA6000进行硬件仿真与调试。
2)设计一个自动售货机,具有投币和选择商品等功能二、设计思路1、售货机模块:该模块能完成货物信息的存储,硬币处理,余额计算。
2、动态扫描模块:功能主要是硬件实验板上让两路信号同时在两个7段数码管上显示出来。
3、输出控制模块:该模块主要是控制OUT和OUT1输出信号来控制阀门打开与找钱,并且控制阀门的打开时间。
设计思路:控制信号输入到售货机模块,售货机进行各项功能操作输出信号在7段数码管三、设计过程3.1、系统方案论证3.1.1、自动售货机系统组成框图图1 系统组成框图3.1.2工作原理1)设计一个自动售货机,此机能出售1元、2.5元、3元、3.5元的四种商品。
出售哪种商品可有顾客按动相应的按键即可,并同时用数码管显示售出的是第几种商品;2)顾客可通过选择投入的钱数来购买商品,并且有一个确认键;3)如果选择投入的钱小于选择的商品价格,则显示出价格和选择的钱数,;4) 如果选择投入的钱大于选择的商品价格,则显示出价格和找回的钱;5)此售货机有一个整体复位控制。
3.2、模块程序设计程序如下:module shoumaiji(clk,a,b,duanxuan,weixuan,out,out1);input clk;input a,b; //a为投入0.5元信号,b为投入1元信号output [8:1]duanxuan; //输出8位段选信号output weixuan; //输出1位位选信号output out; //out为高电平时售卖机闸门打开,汽水掉出来output out1; //out1为高电平时候找0.5元reg weixuan;reg [8:1]duanxuan;reg [31:0]count; //数码管动态扫描计数reg [31:0]count1; //用于计算售卖机闸门打开时间reg [4:1]a1,b1; //用a1,b1寄存投入的0.5元和1元硬币数目reg out,out1;always @(posedge a or posedge out)beginif(out==1) a1<=0; //汽水出来后计数值清零else a1<=a1+4'b1;endalways @(posedge b or posedge out)beginif(out==1) b1<=0; //汽水出来后计数值清零else b1<=b1+4'b1;endalways@(posedge clk)beginif(a1==5) out<=1; //因为汽水为2.5,可以给5个0.5元else if(a1==3&&b1==1) out<=1; //可以给3个0.5元和1个1元else if(a1==1&&b1==2) out<=1; //,可以给1个0.5元和2个1元else if(b1==3)begin out<=1;out1<=1;end //可以给3个一块的else if(a1==0&&b1==0)begincount<=count+1;if(count==50) //如果实际运行中发现数码管有闪烁,可以将此数值调小beginweixuan<=1'b0;duanxuan<=8'b00111111; //0endif(count==100) //如果实际运行中发现数码管有闪烁,可以将此数值调小beginweixuan<=1'b1;duanxuan<=8'b00111111;count<=0;endendelse if(a==1) //投币0.5元,显示0.5,假设数码管为共阴极的begincount<=count+1;if(count==50) //如果实际运行中发现数码管有闪烁,可以将此数值调小beginweixuan<=1'b0;duanxuan<=8'b01101101; //5endif(count==100) //如果实际运行中发现数码管有闪烁,可以将此数值调小beginweixuan<=1'b1;duanxuan<=8'b10111111; //0.count<=0;endendelse if(b==1) //投币1元,显示01begincount<=count+1;if(count==50) //如果实际运行中发现数码管有闪烁,可以将此数值调小beginweixuan<=1'b0;duanxuan<=8'b00000110; //1endif(count==100) //如果实际运行中发现数码管有闪烁,可以将此数值调小beginweixuan<=1'b1;duanxuan<=8'b00111111; //0count<=0;endendif(out==1)beginif(count1==3000)//让闸门打开3秒,由于为1KHz时钟,故要数3000下beginout<=0;out1<=0;count1<=0;endelse count1<=count1+1;endendendmodule3.3、各单元模块功能介绍及电路设计自动饮料售货机主要是由以下几个部分构成,电源电路、数码显示、时钟脉冲、投币脉冲、闸门时间控制等,各部件形成一个简单的自动售货机系统。
此设计主要用到MAXⅡEPM570T144C5N 芯片,其实现主要的功能是对投币信号的计数与计算,判断是否达到所买物品的价格,如果不足的显示所投的钱数,钱足则控制闸门三秒后放出饮料。
3.3.1 投币信号产生电路:自动售货机的所有信号均由同步时钟信号CLK的正边沿触发,由于售货机的硬币接收器一般是一个机械装置,投币时产生的信号要比同步信号慢得多,一般可以持续好几个周期。
必须对投币输入信号进行处理,使每一次投币时在CLK脉冲周期内只能产生一个脉冲作为功能控制模块的投币驱动信号。
基于一面的功能要求,设计了下面所示的投币输入信号的处理电路投币信号产生3.3.2电源模块的构成如图所示:此电路是5V转3.3V的电源电路图,芯片的工作电压是在3.3V达到最佳状态,二极管的额定电流值应大于最大负载电流的1.2倍,但考虑到负载短路的情况,二极管的额定电流值应大于LM2575的最大电流限制;另外二极管的反向电压应大于最大输入电压的1.25倍。
输入电容应大于47μF,并要求尽量靠近电路。
而输出电容推荐使用的电容量为100μF~470μF,其耐压值应大于额定输出的1.5~2倍。
对于5V电压输出,推荐使用耐压值为16V的电容。
3.3.3时钟电路在功能实现模块中,售货机在放出饮料的时候需要延时三秒钟,程序中count1数通过这次学习,让我对各种电路都有了大概的了解,所以说,坐而言不如立而行,对于这些电路还是应该自己动手实际操作才会有深刻理解。
3000下,因此需要1KHZ的时钟脉冲,设计中为同步时钟,所以此时钟脉冲电路为芯片提供“脉搏”。
图中是一种1KHz脉冲发生电路。
它由集成电路CD4060和晶振、电阻和电容等元件组成。
CD4060是14位二进制串行计数、分频器和振荡器。
CD4060内部分为两部分,其中一部分是14级计数/分频器,其分频系数为16~16348;另一部分既可与外接电阻和电容构成RC振荡器,又可与外接晶体构成高精度的晶体振荡器。
在采用一定频率的晶振组成晶振振荡器,其震荡信号经过CD4060内部分频后,在Q输出相应频率的脉冲信号。
设计中需要1000hz的时钟脉冲,所以外接30720Hz的晶振,在Q5端输出大约是1000HZ的时钟脉冲。
3.3.4数码显示根据程序中,在不满足交易金额的时候,需要把所投的币数额显示在数码管上,利用一个控制信号weixuan来控制数码管的显示位置,当weixuan为0时着在低位显示,当weixuan为1时选择高位。
硬件中选用两个数码管来显示,芯片输出的电流不能驱动数码管来显示,因此需要74HC245来驱动数码管的显示,E 端必须接地,这样芯片才能正常工作,DIR端的功能是:当为高的时候数据A到B,为低的时候B到A。
设计中使用两片芯片,一片来让数码管显示数值,一片来控制weixuan让数码选择显示的位置。
在74HC245与数码管之间需要用一个电阻。
当投入五角的硬币的时候,由于不满足交易金额则数码管上显示0.5,为一元的时候则为01。
数码管寄存器四、仿真结果4.1、设计电路图4.2、程序生成的原理图4.3、仿真图五、对应变量的管脚配置表六、课程设计体会与建议6.1、设计体会经过这次对自动饮料售货机系统的设计,让我对EDA设计有了更一步的认识,同时也对EDA这门课程有了更深入的了解。
这次设计让我了解了关于自动饮料售货机的原理与设计理念,要设计一个电路先进行软件模拟仿真再进行实际的电路制作。
通过这次设计让我收获不小,比如在设计完成仿真的时候没有考虑到电路图中的一些元件值的大小,但是也能仿真成功,而实际上在硬件实现中却行不通,所以在设计的时候要充分的考虑到实际情况,从而更加完善设计。
这次EDA课程设计终于顺利完成了,也解决了设计中遇见的一些问题。
在这次设计中我受益匪浅.对Quartus Ⅱ软件的运用更加熟练。
在摸索该如何设计程序使之实现所需功能的过程中,培养了我的设计思维,增加了实际操作能力,总的来说这次收获不小,基本能够做到学以致用。
最后应感谢王庆春老师的辛勤指导下,能让我在困境中找到解决难题的办法,并且对EDA有了更深刻的认识,同时在王老师身上学到了很多设计中适用的方法,当然还要感谢和我“共同战斗”的同学,没有他们的帮助我也很难把这个设计做出。