数字电路医院呼叫系统的设计

合集下载

病房呼叫系统课程设计实验报告

病房呼叫系统课程设计实验报告

安徽科技大学数字电子技术课程设计题目: 病房呼叫系统**: **专业: 电子科学与技术班级: 112学号: **********指导教师:2013年06月15日安徽科技大学理学院病房呼叫系统一课程设计题目与实习目的课程设计题目:病房呼叫系统实习目的:1.掌握数字电路课程所学的理论知识以及数字电子技术在生活中的应用。

2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。

3.进一步深化对电子技术的了解,强化实际动手操作能力以及发现问题解决问题的方法。

4.培养认真严谨的工作作风和实事求是的工作态度。

5.数电课程实验是大学中为我们提供的一次动手实践的机会,增强实际动手操作与研发的能力。

二任务和要求要求:1.用1~5个开关模拟5个病房的呼叫输入信号,5号优先级最高;1~5优先级依次升高;2.用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);3.凡有呼叫发出5秒的呼叫声;4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。

三总体方案的选择病房呼叫系统整体思路为:●LED指示灯显示病房模块●数码管优先显示重病房模块●蜂鸣器报警5秒模块首先由病人按下呼叫器开关,即逻辑数据开关,(1)进入第一模块,通过LED指示灯显示病人呼叫的病房,(2)进入第二模块,传输到一个编码器进行编码,我这里选用的是74LS148,8线—3线优先编码器,其低电平为有效输入;然后再进行译码输出,我选用的是74LS48,为共阴极7段译码/驱动器,74LS148输出为反码输出,遂在74LS48前加上反向器进行输入;再用共阴极七段数码管进行输出。

(3)进入第三模块,通过一个与非门对信号进行处理,连接周期T为10秒,占空比为50%的方波发生器与信号一同通过与门,控制输出信号可以持续5秒,使蜂鸣器呼叫5秒。

流程图如下:与非门蜂鸣器与门四单元电路的设计1.设计所使用的元件及工具:(1)L ED指示灯模块LED显示灯----------------------------------------- 5个;保护电阻R=500Ω------------------------------------5个;(2)数码管显示模块编码器74LS148D-------------------------------------1个;反相器74LS04D--------------------------------------3个;译码器74LS48D--------------------------------------1个;(3)蜂鸣器呼叫模块与非门74LS30D -------------------------------------1个;方波发生器 ---------------------------------------1个;与门7408D -----------------------------------------1个;蜂鸣器 --------------------------------------------1个;另外:逻辑双掷开关5个;电源VCC、接地GND、导线若干。

病房呼叫系统(数字逻辑电路)

病房呼叫系统(数字逻辑电路)

引言本设计的主要目的是实现一个当医院发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。

用于医院病房需要呼叫具有优先级别的呼叫系统。

当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。

另外在产生信号的同时系统会显示呼叫病人的病房编号。

这样医护人员可以根据呼叫信号的优先级别及时对每一位呼叫病人进行救治。

其中,医院可以对病人的病情的进行编号,病情最严重者具有最高级别的优先权,依次降低。

此呼叫系统的使用能让医院出现紧急状况时临危不乱,不仅使医护人员能够对病人的病情有一定的了解,还能对病人的突发情况进行及时有效治疗,让救护工作紧张有序的进行。

同时,医院可以在有本系统的情况下,更加合理有效的安排值班的医护人员,为医院节省大量人力、物力、财力。

本设计的指导思想是设计一个当病人紧急呼叫时,产生声光提示,并显示病人编号;然后根据病人病情进行优先级别设置,当有多人呼叫时,病情严重优先;医护人员处理完当前最高级别的呼叫后,清除已处理的最高级别的呼叫信号,系统按优先级别显示其他呼叫病人的编号。

由呼叫信号的输入,74LS148优先编码,由74LS48译码显示和逻辑控制清除几部分构成,其核心在74LS148优先编码器。

本题目选择的目的是为了更好的为病人进行护理工作,对病情严重优先处理。

本设计主要是采用数字、模拟电路的一些基础原件来完成,结构简单,安装方便,价格低廉来完成病房紧急呼叫系统,当有多个呼叫时,病情严重的优先。

此设计能让普通的乡村医院使用,价格便宜,节约人力、财力资源,能更好的体现医院的本质---救死扶伤,为人民大众服务。

1 课程设计总思路根据数字电路知识,利用优先编码器、显示译码器和555,74LS48,74LS30等电路元件实现各项1.1 设计题目病房呼叫系统。

1.2 设计要求(1).用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低;(2).用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);(3).凡有呼叫发出5秒的呼叫声;(4).对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。

病房呼叫系统电路设计

病房呼叫系统电路设计

电子技术课程设计报告书课题名称 病床呼叫系统电路设计姓 名 ***** 学 号 ******* 院、系、部 ********* 专 业 电子信息工程指导教师**********年*月 *日※※※※※※※※※ ※※ ※※ ※※ ※※※※※※※※※****级电子信息工程专业电子技术课程设计病房呼叫系统电路设计1设计目的(1)熟悉模拟电路的应用与集成电路的引脚排列。

(2)掌握常用芯片的逻辑功能及使用方法。

(3)熟悉电路仿真软件Multisim 11.0使用。

(4)了解优先编码器、555定时器的工作原理,以及芯片的组合。

(5)熟悉病床呼叫系统的设计及其应用。

2设计思路(1)设计优先编码器的电路。

(2)设计555定时器的电路。

3设计过程3.1方案论证图3.1 总体方框图3.2设计要求(1)设置开关K1-K5为病房呼叫开关。

(2)用LED指示灯显示病房的呼叫。

(3)当多个病房同时呼叫时,护士值班室中显示优先级别最高的病房号且扬声器发出警报5秒的呼叫声,LED灯亮5秒。

3.3设计原理(1)由于病房呼叫拥有优先级的限制,所以呼叫时应区分呼叫优先级,这时就会用到优先编码器对呼叫进行优先级的编码,确保多个病房同时呼叫时,优先级最高的病房首先得到相应。

(2)其次,当病房发出呼叫请求时,为了方便医护人员及时对病人进行救治,电路应该拥有显示病房号的功能,这就需要用到数字显示器对相应的病房呼叫做出显示输出。

(3)再次,为了提高呼叫响应成功率,电路还应拥有音频提示功能,即当有呼叫请求发出时要发出警报声。

3.4单元模块设计 1.总电路I n p u t图3.2 总电路图其工作原理如下:接通电源,当病房发出呼叫请求时,病人按下开关,电路中产生一个相应信号并在护士值班室中的数码管上显示出来该病人的床位,同时定时器上的扬声器发出5秒的呼叫声,当多个病人进行同时呼叫时,这时通过74LS147D 优先编码器把优先级别最高的信号输出在数码管上,扬声器发出5秒的呼叫声。

数字电路课程设计——病房呼叫系统

数字电路课程设计——病房呼叫系统

东北大学秦皇岛分校电子信息系数字电路课程设计病房呼叫系统专业名称计算机科学与技术班级学号4080517学生姓名张树茂指导教师李志华设计时间2009.12.18~2009.12.26课程设计任务书专业:计算机科学与技术学号:4080517学生姓名(签名):设计题目:一、设计实验条件电路仿真实验室二、设计任务及要求1.课程设计任务《数字电路》课程设计是继“数字电路”课后开出的实践环节课程,其目的是训练学生综合运用学过的数字电路的基本知识,独立设计比较复杂的数字电路能力,设计建立在硬件和软件两个平台的基础上。

硬件平台是可编程逻辑器件,所选的器件可保存在一片芯片上设计出题目要求的数字电路。

软件平台是加拿大图像交互技术公司(Interactive Image Technoligics简称IIT 公司)推出的Multisim10.0,通过课程设计,学生要掌握使用EDA(电子设计自动化)工具设计数字电路的方法,包括设计输入便宜软件仿真下载及硬件仿真等全过程。

2.课程设计要求通过课程设计学生应掌握设计所用硬件电路的工作原理,EDA软件的使用方法,能够熟练地利用EDA设计、调试数字电路系统,独立完成设计安装、测试全过程,具体要求:1.设计一个病房呼叫系统,该系统能根据不同的呼叫激励不同的振铃;2.设计一个显示电路用于显示病人的床号;3.该系统具有优先权设定功能;三、设计报告的内容1.总体方案选择设计电路第一步就是选择总体方案,就是根据提出的设计任务要求及性能指标,用具有一定功能的若干单元电路组成一个整体,来实现设计任务提出的各项要求和技术指标。

设计过程中,往往有多种方案可以选择,应针对任务要求,查阅资料,权衡个方案的优缺点,从中选优。

最开始我设计的方案一是使用74LS148N和74LS00N以及反相器输出结果,但是这种方案给显示带来了麻烦,所以舍弃了这种方案。

在方案一的基础上我保留了74LS148N和74LS00N的组合,然后使用七段译码显示器及其驱动芯片完善显示部分,形成了最终的方案:图1 最终方案总体电路图原理:1.1.通过电路系统可根据不同的呼叫激励不同的响铃,在此图中由七段共阴数码管输出不同的数字代替激励不同的响铃,实现此功能的电路部分为:图2 实现一个病房具有不同呼叫功能的电路图对于一个病房的不同呼叫,利用74LS148N优先编码器对呼叫信号(输入低电平)进行优先编码,把较为重要的呼叫内容与编号较大的引脚相连,当有呼叫信号(输入低电平)时,74LS148N会把优先级较高的信号编码成二进制信号输出。

数电课程设计——病房呼叫系统

数电课程设计——病房呼叫系统

数电课程设计——病房呼叫系统一、课程设计目的:通过本设计课程的学习,让学生深刻理解数字电路的设计和应用,提高学生的电路设计能力,并能够运用所学知识完成一个完整的电路设计和实践应用。

二、课程设计内容:1.设计目标本设计课程旨在设计一个病房呼叫系统,该系统可以根据对应的呼叫按钮,向医护人员的接收设备发送呼叫信息,以便医护人员及时处理相关事项,保障病人的生命安全和健康。

2.设计要求本课程设计要求学生掌握数字电路的基本原理和设计方法,能够运用寄存器、计数器、门电路等数字电路芯片实现病房呼叫系统的设计。

3.电路功能和结构设计病房呼叫系统主要由呼叫按钮、控制器、显示器、接收器四个部分组成。

a、呼叫按钮:将病人的呼叫信息发送给控制器,可以设置多个呼叫按钮。

b、控制器:接收呼叫按钮的信号并将相应的信号发送给对应的接收器,同时将呼叫信息进行存储和显示。

c、显示器:将呼叫信息显示在屏幕上,提醒医护人员及时处理。

d、接收器:接收控制器发送的信号,发出声音或振动提醒医护人员。

4.电路实现电路实现的关键是对病房呼叫系统的设计进行详细规划。

a、呼叫按钮部分:根据病房的实际情况,需要设置多个呼叫按钮,每个呼叫按钮都需要连接到控制器上。

呼叫按钮可以选择常开或常闭两种形式,常开按钮需要通过电路控制而常闭按钮则直接连接。

b、控制器部分:控制器是整个系统的核心部分,需要安装多个触发器,以接收不同按钮的信号,并将信号进行存储、比较和转换,最终实现呼叫信息的显示和发送。

c、显示器部分:显示器可以采用一块数码管,将呼叫信息的编号进行展示,提醒医护人员及时处理。

d、接收器部分:接收器部分可以选择蜂鸣器、灯光或振动器等形式,将呼叫信息传达给医护人员。

5.相关细节设计为了使整个系统稳定可靠,需要对相关的细节进行设计:a、电源:最好采用稳压电源,以保证电路工作的稳定性。

b、防雷保护:在系统设计中需要注意对各个部位进行综合防雷保护,以保障电路安全和可靠。

基于数电的病房呼叫系统--绝对经典完整实用!

基于数电的病房呼叫系统--绝对经典完整实用!

二级项目报告书摘 要本次的课程设计是要设计一个病房呼叫系统。

该系统能对6张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床都有其对应灯进行提示,还能显示优先级最高的呼叫病床号,并由蜂鸣器发出呼叫声,当护士接受到信号,便赶到优先级最高的病人房间,把该床的灯熄灭,从而显示次优先级的病床,以此类推。

该设计主要是用多个开关、74LS148优先编码器、74LS47译码器、74LS04反向器、七段共阳LED数码管、蜂鸣器、发光二极管和若干个逻辑门电路来实现的。

关键词:病房呼叫系统,优先级,逻辑门电路汕头大学工学院二级项目报告书Abstract目 录1. 项目总体设计目标及设计方案(附系统总体框图) (4)1.1项目总体设计目标 (4)1.2设计思路及方案 (5)1.2.1设计思路 (5)1.2.2 设计方案 (5)1.3.系统总体框图 (6)2.各部分电路设计及工作原理 (7)汕头大学工学院二级项目报告书2.1病房按键部分 (7)2.2编码器部分 (9)2.3 数码管显示部分 (10)2.4指示灯的部分 (11)2.5蜂鸣器部分 (12)2.6 电源部分 (13)3. 仿真结果及分析 (15)3.1电路设计 (15)3.2仿真结果 (16)3.3仿真分析 (17)4. 项目设计和调试中存在的主要问题及采取解决方法 (17)4.1 电路设计中主要存在的问题及解决方法 (17)4.2 仿真过程中主要存在的问题及解决方法 (18)5. 项目的创新之处及其是否可进行功能扩展 (19)5.1项目创新之处 (19)5.2 功能扩展 (19)6.所用元器件一览表(元器件型号、功能、数量、成本核算) (19)7. 组内详细分工情况及完成情况 (20)7.1组内分工 (20)7.2完成情况 (20)参考文献 (21)附录:会议记录(四份) (22)汕头大学工学院二级项目报告书1. 项目总体设计目标及设计方案(附系统总体框图)1.1项目总体设计目标本项目的设计目标是制作一个六路的简易病房呼叫系统,主要目的是制造出一个能够满足实现医院能及时照顾病人的功能的系统。

基于vhdl和multisim的病房呼叫系统数电课设

基于vhdl和multisim的病房呼叫系统数电课设

基于vhdl和multisim的病房呼叫系统数电课设病房呼叫系统是一种用于病房内患者呼叫护士的设备。

本文将介绍基于VHDL 和Multisim的病房呼叫系统的数字电路设计。

1. 系统概述病房呼叫系统是一种用于病房内患者呼叫护士的设备。

系统由患者端和护士端组成。

患者端包括呼叫按钮和显示屏,护士端包括显示屏和报警器。

当患者按下呼叫按钮时,护士端的显示屏将显示患者的呼叫信息,并触发报警器发出声音。

2. 系统设计系统的设计基于VHDL和Multisim。

VHDL用于编写数字电路的行为描述,Multisim用于模拟和验证电路的功能。

2.1 患者端设计患者端包括一个呼叫按钮和一个显示屏。

呼叫按钮用于患者发起呼叫请求,显示屏用于显示患者的呼叫信息。

2.1.1 呼叫按钮设计呼叫按钮是一个触发器,当患者按下按钮时,触发器输出逻辑高电平。

我们可以使用VHDL编写一个简单的触发器模块,如下所示:```vhdlentity CallButton isport (clk : in std_logic;button : in std_logic;call_req : out std_logic);end CallButton;architecture Behavioral of CallButton isbeginprocess(clk)beginif rising_edge(clk) thenif button = '1' thencall_req <= '1';elsecall_req <= '0';end if;end if;end process;end Behavioral;```2.1.2 显示屏设计显示屏用于显示患者的呼叫信息。

我们可以使用VHDL编写一个显示屏模块,如下所示:```vhdlentity Display isport (clk : in std_logic;call_req : in std_logic;display_msg : out std_logic_vector(7 downto 0) );end Display;architecture Behavioral of Display issignal counter : integer range 0 to 9 := 0;beginprocess(clk)beginif rising_edge(clk) thenif call_req = '1' thencounter <= 9;elsif counter > 0 thencounter <= counter - 1;end if;end if;end process;process(counter)begincase counter iswhen 0 =>display_msg <= "00000001"; -- 显示“呼叫”when 1 =>display_msg <= "00000010"; -- 显示“请稍候”when 2 =>display_msg <= "00000100"; -- 显示“正在处理”when 3 =>display_msg <= "00001000"; -- 显示“护士已派出”when others =>display_msg <= "00000000"; -- 不显示任何信息end case;end process;end Behavioral;```2.2 护士端设计护士端包括一个显示屏和一个报警器。

数字电路设计报告——病房呼叫系统

数字电路设计报告——病房呼叫系统

姓名:班级:学号:时间:一、方案论证1、通过74LS147优先编码器进行转换。

这个芯片产生的是一个BCD反码,需要一个非门将转换成BCD码。

也就是需要多花费一些非门来实现。

这对材料的需求将增多。

2、通过改换成74LS148优先编码器后。

产生的是一个对应的正码可直接连进译码显示管中,减少了非门的使用。

经过以上两个方案论证的比较,我们小组选定-----------病房呼叫系统2.二.系统的框图呼叫器原理框图三.各单元电路的设计S1SW SPST SW SPSTSW SPSTSW SPSTSW SPSTR62K R72K R52K R82KR42KVCCVCCVCCVCCVCCP 1P 2P 3P 4P5病房按键部分编码器部分AMBERCA 数码管显示D112U2A74ALS 0534U2B74ALS 0456U2C74ALS 0489U2D74ALS 041011U2E74ALS 04P 1P 2P 3P 4P 574ALS 04指示灯部分振荡电路&报警部芯片介绍四.整体电路五.调试出现的问题及解决方法1.在本次实验中,优先编码器本来使用的是74LS147,此芯片对应的是十输入四输出的BCD反码,当接入到数码显示管时,需要将BCD反码改成BCD正码。

对应的就要在输出的时候加入非门,由于实验器材的有限,所以使用74LS148编码器,此芯片的特点是八输入三输出,因此在对应的数码管中,本来是输入的BCD码,但现在只有三个端口输入,因此最高位应接低电平,其余端口依次接入。

2.当没有任何人按键时,数码管显示所产生的数字是7,对应的数字是0111,这与实验要求所产生的数字0也就是对应的BCD码0000相矛盾。

3.由555定时器构成的门铃中,第一个555定时器即实现单稳态的输出的时间是5秒,那就对应的时间T=.在第二个555定时器构成的多谐振荡器中要想实验频率不同的声响就必须改变其周期,多谐振荡器所产生的周期T是(R2+2R3)所对应的频率就是1/T,多谐振荡器C5的电容应选较大。

数字电路课程设计病房呼叫系统

数字电路课程设计病房呼叫系统

课程设计报告设计题目:病房呼叫系统摘要本设计完成的是病房呼叫系统的功能。

该设计采用主从结构,监控机构放置在医生值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。

呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,提示闹铃响起,向值班室呼叫,并点亮相应床位的指示灯。

采用模块设计思想,分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。

这些模块共同工作完成本电路的功能实现。

本设计分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。

这些模块共同工作完成本电路的功能实现。

其中运用了8线—3线优先编码器74LS148来实现优先选择模块主要功能,其中运用自己设计的小规模逻辑门电路,7段字形译码器74LS47D和共阴极七段数码管来实现译码显示模块主要功能,运用555定时器来实现呼叫模块。

本论文阐述了如何利用数字电路的思想,以及应用MULTISIM7仿真软件设计病房呼叫系统,测试,并实现其功能。

关键词:病房呼叫系统,主从结构,数字电路目录摘要 (1)第1章概述 (3)第2章课程设计任务及要求 (4)2.1设计任务 (4)2.2设计要求 (4)第3章系统设计 (4)3.1方案论证 (4)3.2系统设计 (5)3.2.1结构框图及说明 (5)3.2.2系统原理图及工作原理 (7)3.3单元电路设计 (9)3.3.1单元电路工作原理 (9)3.3.2元件参数选择 (16)第4章软件仿真 (17)4.2仿真过程 (18)4.3仿真结果 (19)第5章安装调试 (22)5.1安装调试过程 (22)5.2 故障分析 (23)第6章结论 (24)6.1对于病房呼叫系统的仿真设计 (24)6.2对于数字式抢答器的安装调试 (24)第7章使用设备仪器清单 (25)参考文献 (25)收获、体会和建议 (25)第1章概述病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。

医院病人呼叫系统 简单电路

医院病人呼叫系统  简单电路

综合课程设计报告医院病人紧急呼叫系统学生姓名:周跃、周怡洁、张卓、郑锐、杨蕾、汪金强指导教师:曹喜珠所在系:电子工程系所学专业:电子信息工程年级:2012级2014 年 6 月医院紧急呼叫系统摘要关键词:置零编码译码数码显示管系统可由信号输入,信号编码电路,信号译码电路,数码管显示等几部分组成。

系统首先通过开关闭合接受呼叫信号,用与非门电路将没有信号输入时,数码显示管显示零,并将其信号输入到74LS148D芯片,由74LS148D芯片进行编码,然后将这一时刻所有的呼叫信号传到8路译码器(这里用74LS248D),译码器再根据这一时刻信号对这些信号进行译码,然后传到显示电路根据优先级依次由高到低显示,护士根据病人病情严重情况进行处理,处理一个清除一个,清除的具体方法就是每按一下74LS248D芯片中的BI/RBO端,使芯片工作与不工作,然后电路又可以用同样的原理处理下一时刻的呼叫信号了。

一、设计任务与要求1.1 设计内容1. 根据病人病情设置优先级别。

当有多人呼叫时,病情严重者优先;2. 医护人员处理完当前最高级别的呼叫后,系统按优先级别显示其它呼叫病人的编号;3.在实验室组装实际电路并调试通过;4.写出设计报告。

1.2 设计要求1.思路清晰,给出整体设计框图和总电路图;2.单元电路设计,给出具体设计思路和电路;3.写出设计报告。

二、元器件清单及简介2.1 元器件74LS248D 1个,74LS148D 1个,单刀单掷开关 5个,74LS00 2个,电阻11个,电压 5个。

2.2元器件简介74LS248D:74LS248D是一个七段译码器。

七段显示译码器输入的是8421BCD码,输出的是能驱动七段显示器的高低电平信号,有四个输入端,译码后产生的信号输入给相应的数码管,248采用共阳极数码管。

当某字段的电平为"1"时,该字段发亮,否则不亮。

RBI:灭零输入端,低电平有效。

当输入端DCBA=0000时,只要RBI=0,译码器各字段输出均为"0",不显示数字"0"。

(整理)数字电路课程设计病房呼叫系统

(整理)数字电路课程设计病房呼叫系统

课程设计报告题目:病房呼叫系统起止日期:自2011年12月19日至2011年12月30日信息科学与工程系系(部)学生姓名09电子科学与技术班级学号成绩指导教师(签字)2011 年 12 月 30 日课程设计任务书2011-2012学年第一学期系(部)信息科学与工程系专业电子科学与技术班级课程名称:数字电子技术设计题目:病房呼叫系统指导教师(签字):年月日教研室主任(签字):年月日目录1概述 (1)2方案的选择与论证 (1)3单元电路的设计和元器件的选择 (1)4系统电路总图及原理 (5)5经验体会 (7)参考文献 (8)附录A系统电路实物图 (9)附录B元器件清单 (10)1 概述本次课程设计选择的题目是病房呼叫系统。

类似系统在目前的医院里已经十分常见,几乎所有的病房里都配备的这样的紧急呼叫系统。

这些系统虽然出自不同的生产商,但往往都具备这样一些共同点,如:当病人呼叫时都可产生声或者光等信号提示并显示病人的编号、根据病人的病情来设置显示的优先级别以确保病情最重的病人最先得到医治等等。

这个系统是十分实用并且高效的,因而,这个课程设计题目是接近现实应用的,对于一个理工科的学生来说是有相当实际意义的,而且这个设计题目也是有趣的,是值得花时间甚至是大量时间反复推敲琢磨的。

2 方案的选择与论证2.1方案一:使用5个jk触发器对k1-k5这5路信号进行锁存,这时使用的是轻触开关,编码器用74LS148优先编码器,报警部分是2个555定时器组成的发生器。

2.2 方案二:不使用jk触发器对k1-k5这5路信号进行锁存,直接使用拨动开关对信号进行控制,编码器用74LS148优先编码器加一个HD74LS04非门,报警部分是用1个555定时器发声。

74LS148优先编码器产生的是一个BCD反码,需要加非门来转换成BCD码.病房呼救系统使用轻触开关时必须配合有锁存功能的芯片,但是考虑到我们几天的实际仿真结果和掌握的材料,我们略去了锁存按键信号部分,直接使用了拨动开关。

数字电路课程设计病房呼叫系统

数字电路课程设计病房呼叫系统

病房呼叫系统在医院能够最好的为病人服务是很重要的,但是护士不能总是在每一位病人身边。

所以,病房床位呼叫器对一个服务好的医院来说是很必要的。

本设计的整个呼叫器电路由显示、控制、报警和整流电源组成。

使得病人可以及时的通知医生,并且医生也能够很快的知道是哪个病房、哪个床位的病人在求助。

工作原理及内容当病人呼叫时,护理主机会发出语音报号。

如第1号床病人呼叫时,护理主机发出“叮咚!1号床呼叫”语音。

语音报号的最大特点是医务人员在专注处理其他事务时,能耳听八方,及时得到呼叫病人的信息,作出快速反应。

语音报号声音量高低可调,晚间音量自动减低一半,音量调节到最低时,尚能听清报号声,以防延误。

应答分机能显示床号,在治疗室化药处安装应答分机更有必要。

病人呼叫一般发生在盐水挂完后,在治疗室显示病人床号便于护士进一步核对病人与所需的药物,以免拿错发生医疗事故。

应答分机具有应答复位功能,可在过道、治疗室、值班室甚至医生办公室等医护士经常出现的地方设立多个应答分机,便于医护人员及时了解情况,迅速作出反应。

应答分机具有从编号到声音有别于病人的呼叫功能,可用作医护人员之间相互呼叫,以及护士紧急求助的呼叫。

紧急求助功能适合护士遇到紧急突发的偶然事件,向同伴求助以获得增援,一起帮助处理异常事务。

护士紧急求援呼叫报警声与一般病人的呼叫报警声有明显区别。

当多个病房同时呼叫时,护士值班室中显示优先级别最高的病房号且蜂鸣器SP使计算机上的扬声器发声。

病房床位呼叫器图1所示为病房床位呼叫器,每只SZ-1数字管可代表10个床位,用20只可代表200个床位。

SZ-1辉光数字管上具有一个共用的耻极和10个阴极的冷阴极辉光器件。

10个阴极分别做成0、1、2……9十个数字的形状,相互隔一定间隙重叠组成而成。

每个阴极对共用的阳极来说,就相当于一个氖灯,只要使10个阴极分别接通电源,就可显示出相应的数码。

图1180V 的直流电源通过限流电阻R1~R20加到数字管阳极,用开关(SB1~SB200)直接控制阴极接地,使电源形成回路。

数字电路医院呼叫系统的设计

数字电路医院呼叫系统的设计

数字电路医院呼叫系统的设计数字电子课程设计题目医院呼叫系统设计姓名XXX学号XXXXXXX学院信息学院摘要随着科技的进步,人性化,智能化的医疗服务体系越来越接近人们的生活,为了满足医院各个病房的病人的需要,有必要设计并制作一个医院呼叫系统来满足这个需求。

本文研究的目的是在利用自己所学的数字电路及模拟电路的知识,设计一个电路系统来满足要求,并在设计和具体的实践过程中提高自己数字电路的学习水平。

该系统设计的技术指标是要实现对病人请求的声与数码管显示的转换,同事在实际操作中,根据病人病情的轻重缓急,加以区分优先级,所以,在以八路的病房呼叫系统为例时,由八个开关组成呼叫系统的呼叫电路,八个开关分别对应八个病房。

在八个病房中八号病房的病人病情最为严重,依次向下病人病情逐渐减轻,一号病房病人病情最轻。

该呼叫系统具有优先编码的功能,即当八号病房的病人呼叫时不管其他病房的病人有没有呼叫都只显示八号病房的号码请求,然后再依次显示其他病房的号码请求。

关键字:医院呼叫系统、数字电路、优先级电路、目录1.方案论证-----------------------------------------------------------------------32.电路设计-----------------------------------------------------------------------43.性能的测试--------------------------------------------------------------------84.结论-----------------------------------------------------------------------------95.性价比--------------------------------------------------------------------------96.课设体会-----------------------------------------------------------------------97.参考文献-----------------------------------------------------------------------98.附录1---------------------------------------------------------------------------109.附录2---------------------------------------------------------------------------1010.附录3---------------------------------------------------------------------------11工作原理:通过按钮控制呼叫信号,用两片74LS74芯片实现对74LS148的输入信号控制,用74LS148控制电路信号的优先编码,再利用74LS138将74LS148的输出信号编译出来,用LED数码管显示呼叫请求的病房号码,并利用蜂鸣器发出声的警报。

数电课程设计病床呼叫系统

数电课程设计病床呼叫系统

数字电子技术课程设计报告题目:病床呼叫系统班级:姓名:指导老师:组号:目录1、设计任务及要求 (3)2、方案设计 (3)3、各单元电路设计与分析 (4)3.1、开关控制及指示灯与数码管显示部分 (4)3.2、优先编码部分 (5)3.3、5s闪烁呼叫模拟部分 (6)4、总体电路原理图及元器件清单 (7)4.2、电路原理图 (8)4.2、原件清单 (10)5、电路仿真及仿真结果分析 (11)6、电路功能测试方案与测试结果 (12)7、作品照片图 (12)8、结论与心得体会 (13)9、参考文献 (13)病床呼叫系统1、设计任务及要求:用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下:1分别用1~5个开关模拟5个病房的呼叫输入信号;5个呼叫优先级不同..2用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时;显示优先级最高的呼叫号其他呼叫用指示灯显示..3凡有呼叫发出5s的呼叫声可通过LED灯5s闪烁模拟..4当护士接收到信号;按下复位键时数码管被清零;而且不能影响下次呼叫的进行..2、方案设计:临床求助呼叫是传送临床信息的重要手段;病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具;可将病人的请求快速传送给值班医生和护士;并在值班室的监控屏幕上留下准确完整的记录;是提高医院和病房护理水平的必备设备之一..监控机构一般放置在护士值班室内;当病床有呼叫请求时进行声光报警;并在显示器上显示病床的位置..呼叫源按钮放在病房内;病人有呼叫请求时;按下请求按钮;向值班室呼叫;并点亮呼叫指示灯..监控机构和呼叫源之间通过数据线连在一起..本设计为一个模拟系统;通过各类芯片的组合来实现该系统的基本功能;完成各项操作..病床呼叫系统能对5张病床进行统一监护;能够对不同优先级的呼叫进行优先响应处理;对发出呼叫的病床有指示灯进行提示;还能显示优先级最高的呼叫号;并发出5s的呼叫声用一个闪烁的指示灯模拟;当护士接收到信号;按下复位键时显示管被清零..通过对设计的要求分析;可以将电路大致分为四大模块;触发清零模块、编码及译码器译码模块、滤除优先级及显示模块、计数器5s警报模块;通过对四大模块的整合;即可基本达到实验要求;实现系统功能..如图1所示;该系统分为三大部分:5个呼叫按钮;右边方框为护士站的呼叫处理端;包括5个指示灯、一个数码管显示器和一个响应复位开关;中间是优先级编码和计数功能模块..制作时分为病人模块和护士模块..图1病床呼叫系统设计框图3、各单元电路设计与分析:3.1、开关控制及指示灯与数码管显示部分由5个输入高低电平的开关分别代表5个病床的呼叫按钮;还需要一个开关作为复位端即清零端..将指示灯及复位开关放置在护士模块上面..利用74LS148进行优先编码之后;再利用74LS00的与非门连接到74LS48上面;是输出高电平有效的译码器;用74LS48连接数码管进行显示对应的病床号..5个开关输入以后可以经5个D触发器输入到74LS148;5个输入有优先级;从1到5的优先级依次降低;1到5开关连接到相应的指示灯..开关直接控制指示灯亮;而更高一级的显示则需要优先编码器来实现输出..由于74LS74属于TTL芯片;内部自带上拉电阻;所以用开关触发的时候需要加下拉电阻;阻值为470Ω;D触发器由上升沿触发;开关一边接高电平;一边接触发端;按下开关时;触发;使灯泡亮..D触发器复位端低电平有效;将所有触发器的复位端连在一起接另一个开关的一端;该开关另一端接高电平;在不需要复位的时候复位端一直无效..数码管显示:3.2、优先编码部分优先编码模块的逻辑电路如图2..如图2所示;时钟端单独接脉冲;5个开关输入连接到优先编码器的8个输入端的其中5个即可;分别为I 2、I 3、I 4、I 5、I 6;经过74LS148的优先级选择后从A 0、A 1、A 2输出到数码管显示电路显示病床号.表1给出了74LS148输入、输出对应的真值表..表1 74LS148输入、输出对应真值表图2 优先编码模块逻辑电路图3.3、5s 闪烁呼叫模拟部分5s 闪烁呼叫模拟部分逻辑电路图如图3所示..用开关控制脉冲的输入:5个开关依次连入或门;脉冲再与开关部分连入与门;再将可控制的脉冲接入74LS161的脉冲输入端;实现当K 1~K 5任意一个或多个为高电平时有脉冲输入到74LS161中;全部为低电平时没有脉冲输入..为了实现指示灯闪烁5s 的功能;当Q d Q c Q b Q a 为0101时;把Q a Q c 端接至与非门再连接至T 端;实现模五计数器;使计数器可以保持在0101;再将Q a Q c 接入的与非门与可控脉冲连接到与门;这样可以保证Q d Q c Q b Q a 从0000到0101每变一次指示灯闪烁一下;共闪烁5次后停止..利用555来提供10hz 的脉冲;如图所示;由3输出;电阻均为1k;电容为47uf..图3 模五计数器4、总体电路原理图及元器件清单: 4.1、电路原理图将上述各功能模块综合起来得到整个系统的逻辑电路图;如图4所示..图4和图5 病房及护士站模拟电路图4.2、原件清单:5、电路仿真及仿真结果分析:仿真结果比较理想..6、电路功能测试方案与测试结果:给电路接入电源;然后运行电路;当按下一个按键开关时;对应的灯泡会亮并且会显示数字;另一个灯会闪烁五次;当按下复位开关时;灯泡都会熄灭;显示数字07、作品照片图:8、结论与心得体会:在画图的过程中;忘记接所有芯片的VCC;GND了;这是严重的错误;而且有几个与非门接错了;整体布局不是很好导致有很多跳线..做完后发现所有灯都一起亮了;说明开关没有起到作用;也就是没有触发;经过思考后发现是由于没有加下拉电阻;当加了下拉电阻之后;五个灯泡正常工作了;但是数码管还没有正常工作;检查后发现74LS48与数码管连接错了;在认真的查了芯片的引脚后;进行了改正;这时数码管有了显示;但是不是正确的数字;再次检查后发现数码管并不是共阴极数码管;这是由于在使用没有测试导致的错误;换为共阴极数码管后整个东西能够正常使用了..通过这次课程设计的画图到作出实物;我收获了许多东西;画图时首先就应该连好VCC;GND;用某一个芯片时先要搞清楚其引脚的作用;不能凭想象连接..在检查的过程中要仔细分析每个引脚到底应该输出什么电平;是否出错..在画图没有错误的条件下;还要保证布局合理..9、参考文献:1、康华光.电子技术基础数字部分第五版.高等教育出版社.2、武俊鹏刘书勇付小晶.数字电路实验与实践教程.北京清华大学出版社.。

关于数字电路的病房呼叫系统设计

关于数字电路的病房呼叫系统设计

关于数字电路的病房呼叫系统设计摘要:数字电路已经广泛应用于各个领域,包括医疗保健。

在医疗保健领域,数字电路可以用于设计各种医疗设备和系统,包括病房呼叫系统。

本论文介绍了一种数字电路病房呼叫系统的设计方案,包括数字电路设计,系统架构和电路模拟。

该系统具有低成本、高可靠性和易于维护的特点,可以在医院、养老院等医疗机构中应用。

关键词:数字电路;病房呼叫系统;系统架构;电路模拟;可靠性正文:数字电路病房呼叫系统是一种基于数字电路设计的医疗设备,其主要功能是提供病房内病人和护士之间的通讯服务。

可以通过该系统来实现病房内病人和护士之间的通讯,如呼叫护士、请求药品、借求帮助等。

本文将介绍该系统的设计方案,包括数字电路设计、系统架构和电路模拟。

数字电路设计部分,包括线路电路、数字电路和总电路设计。

线路电路是指病房内部的电器线路,包括电源线路、数据传输线路和控制线路。

数字电路包括各种数字电路器件的选择和电路图设计。

总电路设计包括将线路电路和数字电路组合在一起,连接护士站和病床端的设备。

系统架构部分,主要设计病床呼叫器、病房信号控制器、护士台显示和控制器、护士手机管理和控制、呼叫记录和报警系统等子系统。

其中,病床呼叫器是病人和护士进行通讯的重要设备,其主要功能是呼叫护士和警报。

病房信号控制器是系统的核心部分,它能够控制呼叫器、护士台和呼叫记录子系统之间的信息交换和数据传输。

护士台显示和控制器是护士们接收和处理病人呼叫信息的工作站,其能够显示病房内的所有呼叫信息,并进行控制。

护士手机管理和控制是为了让护士随时随地都能够接收呼叫信息和查看病人的情况。

呼叫记录和报警系统是为了方便医生查看病人的呼叫记录以及对呼叫信息进行报警处理。

电路模拟部分,是为了测试系统的可靠性和正常性。

通过模拟系统进行各种测试,如模拟病床呼叫器发出呼叫信号时系统的响应速度、模拟接收器收到信号后的显示速度、模拟信号控制器在多个病床间传递信息的速度、模拟呼叫记录和报警系统的响应时间等。

课程设计医院住院病人呼唤器

课程设计医院住院病人呼唤器

课程设计---医院住院病人呼唤器模拟与数字电子技术课程设计报告设计课题:医院住院病人呼唤器专业班级:电气1005班学生姓名:杜亮亮 9310100611 指导教师:王枫陈秀宏设计时间: 2012.1.5数电部分医院住院病人呼唤器一、设计任务与要求1. 设计任务用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低;用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);凡有呼叫发出5秒的呼叫声;参考方案:可选用74LS148设计,并配以数码显示器件,显示呼叫病室号。

二、方案设计1. 设计选定1.通过74HC138优先编码器实现但是必须先经过非门进行高低电平转换才能应用很浪费材料成本比较高且操作容易出错。

2.通过74LS147优先编码器进行转换。

这个芯片产生的是一个BCD反码,需要一个非门将转换成BCD码。

也就是需要多花费一些非门来实现。

这对材料的需求将增多。

3.通过改换成74LS148优先编码器后。

产生的是一个对应的正码可直接连进译码显示管中,减少了非门的使用。

经过以上三个方案论证的比较,我们小组选定-----------病房呼叫系统3二.系统的框图呼叫器原理框图三、单元电路设计与参数计算S1SW SPST SW SPSTSW SPST SW SPST SW SPSTR62KR72KR52KR82KR42KVCCVCCVCCVCCVCC P1P2P3P4P5病房按键部分数字电路程设计编码器部分DS1AMBERCA数码管显示D112U2A74ALS 0534U2B74ALS 0456U2C74ALS 0489U2D74ALS 041011U2E74ALS 04P 1P 2P 3P 4P 574ALS 04指示灯部分振荡电路&报警部芯片介绍四.整体电路五仿真1将报警的蜂鸣器用发光二极管代替完成仿真2当有病人按下按键时对应的LED灯显示报警,数码管显示床位号、3并且优先级逐次升高。

数字电路-病房呼叫系统

数字电路-病房呼叫系统

数字电路课程设计
题目:病床呼叫系统
学院:计算机与信息工程学院
班级:电子信息科学与技术
姓名:
学号:
2013年 5 月3日
目录
一、病房呼叫系统的功能 (1)
二、(题目)设计框架 (1)
三、元器件选择 (2)
四、原理图 (3)
五、结论及心得 (4)
一、病床呼叫系统的功能
1、当病人输完液或出现紧急情况时,按身边的呼叫器呼叫值班护士。

2、护士站的值班人员通过数码管显示的呼叫信息了解病房信息。

3、数码管显示的信息能准确的显示需要帮助的病人病房号、病床号,使护士工作效率
提高。

二、设计框架
三、元件选择
四、原理图
五、结论及心得
通过本次课程设计,使我对这学期的数字逻辑和数字系统这门课有了更深刻的认识,学会了用所学的知识去解决实际问题,很大程度上提高了我的实际动手能力,同时,也掌握了一些芯片的功能以及平时学习过程中被忽略的一些小细节。

还有最大的体会,那就是在做事过程中,耐心是必须具备的,它不仅是完成事情的关键,也是有所进步的关键。

数电:病房呼叫系统设计

数电:病房呼叫系统设计

数电:病房呼叫系统设计-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN病房呼叫系统设计某医院有一、二、三、四号病室4间,每室设有呼叫按钮,同时在护士值班室内对应地装有一号、二号、三号、四号4个指示灯。

现要求当一号病室的按钮按下时,无论其它病室的按钮是否按下,只有一号灯亮。

当一号病室的按钮没有按下而二号病室的按钮按下时,无论三、四号病室的按钮是否按下,只有二号灯亮。

当一、二号病室的按钮都未按下而三号病室的按钮按下时,无论四号病室的按钮是否按下,只有三号灯亮。

只有在一、二、三号病室的按钮均未按下而按下四号病室的按钮时,四号灯才亮。

试用优先编码器74LS148和门电路设计满足上述控制要求的逻辑电路,给出控制四个指示灯状态的高、低电平信号。

一、实验目的:(1)、熟悉优先编码器的优先功能及应用。

(2)、掌握译码显示电路设计。

二、实验内容:试用优先编码器74LS148芯片和必要的门电路设计一个病房呼叫系统。

具体要求如下:(1)、共有一、二、三、四号病房,每个房间装有呼叫按钮。

(2)、各病室的呼叫优先权不同,其中,一号病室的优先权最高,四号病室最低。

(3)、在护士值班室内有相应的显示电路,能看到当前呼叫病室的房间号。

三、实验原理:优先编码74LS148的原理图如图1所示,其功能表如图2所示。

74LS00管脚图74LS148管脚图74LS148的功能表四、实验器材:(1)、数字电路实验箱一台(2)、元器件集成门电路:74LS00等若干优先编码器74LS148芯片 1片按键开关 4个共阴极七段数码管 1位蜂鸣器或扬声器 1个LED指示灯 1个电阻若干五、求解:解:输入变量:A1’,A2’,A3’,A4’;0:按下按钮,1:没有按下;输出变量:Z1,Z2,Z3,Z4;1:灯亮,0:灯不亮;Y2 Y1 Y0Y2' Y1' Y0'Z1 Z2 Z3 Z4 A1' A2' A3'A4'0 X X X0 1 1 1 0 0 1 0 0 01 0 X X 0 1 0 1 0 10 1 0 01 1 0 X0 0 1 1 1 00 0 1 01 1 1 00 0 0 1 1 10 0 0 1由真值表写出:Z1=Y2'(Y1')'(Y0')'=Y2'Y1 Y0Z2=Y2'(Y1')'Y0'=Y2'Y1 Y0'Z3=Y2'Y1'(Y0')'=Y2'Y1'Y0Z4=Y2'Y1'Y0'据此画出如图所示的电路连接图:六、注意事项:接线时要注意各芯片及数码管输入端的位权顺序。

数字化电气课程设计报告——医院病人紧急呼叫系统设计方案.doc

数字化电气课程设计报告——医院病人紧急呼叫系统设计方案.doc

数字化电气课程设计报告——医院病人紧急呼叫系统设计方案数字电路课程设计一、总体设计理念12。

基本原理和框图。

总电路图3第二,单元电路设计51。

信号锁存电路52。

优先级编码电路73。

显示电路94。

逻辑控制和复位电路9三.附录12一、总体设计思路1。

设计内容和要求根据要求设计一个医院病人紧急呼叫系统。

具体要求如下:1、当病人紧急呼叫时,可以显示病人的号码;2、根据患者的病情设定优先级。

当有许多人打电话来时,重病将优先。

3.医务人员处理完当前最高级别患者的呼叫后,系统根据优先级显示其他呼叫患者的号码。

2.基本原理和框图系统可由信号调用锁存、优先级编码、显示电路和逻辑控制清除电路组成。

该系统首先通过不同的按钮开关接收不同的呼叫信号,并将它们相应的信号锁定在相应的D触发器中,然后将这些呼叫信号传输到8通道优先级编码器,该编码器根据触发器中的信号优先级顺序编码这些信号,然后显示具有最高优先级的当前信号。

医务人员将根据当前显示的患者编号进行处理。

当按下控制开关时,可以清除当前处理的患者,并显示下一个二级优先级别的患者。

清算的原则如下: 每次按下复位按钮,都会产生一个上升沿脉冲来设置计数器,当前显示的数字将通过计数器输入解码器,相应地输出一个低电平信号作为触发复位信号。

此时,二次编码信号显示在显示器上。

类似地,处理将依次完成,并且在所有处理之后将显示零。

系统操作示意图3。

通用电路图根据系统要求和电路图,可使用EWB仿真软件制作通用电路图:医院病人紧急呼叫系统总电路图2.单元电路设计1。

信号锁存电路本系统中使用的D触发器是74LS74,当预置端和清零端都处于高电平时,当CLK上升时,它将输入信号D锁定为Q,Q=D这里我们使用的是端子,当输入上升沿脉冲时,它首先被设置为高电平,然后变为低电平。

74LS74菜单如下:输入/输出预设清零时钟CP 01 xx 1010 xx 0100 xx 1111↓11011↓001110 x hold 74LS 74锁存部分的电路图如下: 该电路使用2个74LS74双D触发器,4个输入分别代表4个病人。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

医院呼叫系统设计
一、概述
当今时代,随着科学技术的不断进步,各类疾病和交通事故频繁发生,这就使得对于呼叫系统的应用要求越来越广泛。

正因为医院呼叫系统被广泛地应用于医院紧急事故的抢救呼叫,该系统在设计上要求设计成本低、设计简单易于使用和故障后的维修。

本文的研究目的是通过查找资料,以及自己的动手实践,利用一些电路元件设计一个简单的电路并且仿真出结果的过程以达到对于所学的知识进一步的了解和运用。

该系统设计的技术指标是要实现对病人请求的光、声以及数码管显示的转换,同时要求在实践上,在多人呼叫的同时,对于病重的病人实现优先请求。

所以,在以八路的病房呼叫系统为例时,由八个开关组成呼叫系统的呼叫电路,八个开关分别对应八个病房。

在八个病房中八号病房的病人病情最为严重,依次向下病人病情逐渐减轻,一号病房病人病情最轻。

该呼叫系统具有优先编码的功能,即当八号病房的病人呼叫时不管其他病房的病人有没有呼叫都只显示八号病房的号码请求,然后再依次显示其他病房的号码请求。

二、方案论证
利用数字逻辑电路设计一个八路医院呼叫系统,实现将病人的请求及时传递给医院值班的医生和护士,并对病情严重的病人呼叫实现优先编码的过程,提醒值班人员做好抢救工作和服务。

方案一:
方案一原理框图如图1所示。

工作原理:当病人按下呼叫按钮时,开关导通,用74LS147芯片对请求信号进行优先编码,且1~8号病房的病人请求信号被编译的优先级依次增加。

经过优先编码后,进入显示报警电路。

其中,用由四个反相器74LS04D构成的译码
电路控制LED数码管将发出请求的病房号码显示出来,用一个四路或门OR4控制灯的亮灭,再用一个与门7408N和一个信号发生器控制蜂鸣器以发出声的警报。

当优先级别高的病房的请求处理完后再依次处理其他的病房请求。

最后,当所有请求都被处理完后,所有的呼叫按钮又处于断开状态,等待下一次的呼叫。

然后利用一个开关进行复位。

方案二:
方案二原理框图如图2所示。

工作原理:通过按钮控制呼叫信号,用两片74LS74芯片实现对74LS148的输入信号控制,用74LS148控制电路信号的优先编码,再利用74LS138将74LS148的输出信号编译出来,用LED数码管显示呼叫请求的病房号码,用闪光灯提示并利用蜂鸣器发出声的警报。

由以上工作原理框图可以看出,方案一和方案二的工作原理的主要组成原理是相同的。

比较这两种设计方案,为了考虑设计的简便以及使用方便,更主要是考虑设计的成本,在实现同一功能的基础上,方案一的电路更加直观简单,易于理解且成本较低便于以后的简单维修,所以此次设计,我采用方案一进行电路的设计以及仿真。

三、电路设计
1.呼叫电路
呼叫电路的设计采用简便的核心宗旨,仅仅由八个开关组成,分别代表1~8号病房。

当有一个病房的病人发出请求时,该病房对应的开关处于导通状态。

2.优先编码电路
优先编码电路主要对输入的请求信号进行优先编码过程。

该部分的电路设计同样简单易懂,由一个二—十进制的优先编码器74LS147组成。

当有病房的病人发出请求信号时,若只有1号病房的按钮按下,LED数码管上显示数字“1”。

当2号病房的按钮被按下,3~8号病房按钮未按下,不管1号病房按钮按没有按下都只显示2号病房的号码。

依此类推,以8号病房的优先级最高即8号病房的病人病情最为严重。

当有多个病人同时按下按钮时,先处理优先级高的病房的请求,再依次处理其他病房的请求,直到处理完所有的请求。

74LS147芯片的功能表及芯片如表1和图3所示。

表1 二—十进制编码器74LS147的功能表
可知优先权以I9′为最高,I1′为最低。

又由电路可知只用到了I8′,I7′,I6′,I5′,I4′,I3′,I2′,I1′这八个输入端,I9′一直接高电平。

U1
74LS147D
HPRI/BCD A 9B 7C 6D
14
31341522121118574639
10
图3 74LS147芯片
优先编码电路原理图如图4所示。

图4 优先编码电路原理图
电路中K1~K8为8个呼叫按钮开关,K9为清零开关。

R1~R8为8个100Ω的电阻用来保证优先编码器的输入在应许的范围内,74LS147为二—十进制游戏那编码器对输入信号进行优先编码。

3.译码电路
在接收到优先编码器的优先输出编码后,利用译码电路将信号输出。

译码电路由四个反相器74LS04组成,输出Z4Z3Z2Z1四个二进制的数,其中以Z4为
高位,Z1为低位。

译码电路功能表如表2所示。

表2 译码电路功能表
译码电路的原理图见图5。

U1
74LS147D
HPRI/BCD A 9B 7C 6D
14
31341522121118574639
10
U2A
74LS04D
U3A
74LS04D U4A
74LS04D U5A
74LS04D
9
10
11
12
图5 译码电路原理图
U2—U5为四个反相器,其中,U2的输出为Z1,U3的输出为Z2,U3的输出为Z4,Z4为高位,Z1为低位。

四个反相器将获得的Y1′、Y2′、Y3′、
Y4′转变为Z1、Z2、Z3、Z4。

4.显示报警电路
显示报警电路由三大部分组成:LED数码管数字显示部分、闪光灯显示部分和蜂鸣器警报部分。

LED数码管接收译码电路的输出信号,将其编译的二进制数转变成相应的十进制数字。

当有一个病房发出请求信号时,闪光灯就会发光以提示值班人员,所以只要将译码电路的输出信号进行或运算就能控制闪光灯的亮灭即L=Z1+Z2+Z3+Z4。

而蜂鸣器警报在一旦有病房发出请求信号就发出蜂鸣声以警告值班人员,所以可以利用闪光灯的输出信号与上一个高电平(5V电源和信号发生器串联)就能保证输出只和电路的输入有关即F=L·1。

只有当显示报警电路所有的请求都被处理完后,系统才能够不发出光和蜂鸣声。

显示报警电路的原理图如图6所示。

U6
图6 显示报警原理图
电路中U6为LED数码管显示病房号码,U7为一个四路的或门用来控制闪光灯X1的亮灭,与门U8将或门的输出和高电平与来控制蜂鸣器U9。

四、性能的测试
电路整体性能的测试:
将电路接通+5V的直流电源后,按照要求逐个地拨动开关,这时发现LED 数码管显示相应的病房号码,闪光灯也发光提示值班人员,但是蜂鸣器不发声。

断开电源后检测到电路的各条接线和引脚都符合要求。

再检测蜂鸣器,发现蜂鸣器的一些参数没有改。

将修改后的电路再进行仿真,按要求拨动开关,LED数码管显示号码,闪光灯也发光,蜂鸣器也能正常发声。

由以上测试可知,该电路符合设计要求。

五、结论
本次课设的题目为医院呼叫系统的设计。

本次课程设计的电路设计符合任务书中要求的各项指标,即当病人紧急呼叫时,会产生声、光提示,并显示病人编号;且根据病人病情设置优先级,当有多人呼叫时,病情严重者优先;医护人员处理完当前最高级别呼叫后,系统按优先级别显示其它呼叫病人的号码。

电路的仿真结果也是同样的符合课设的要求,鉴于该电路该有的功能都具有,却难以加入其它的一些功能,所有就按照自己的设计将电路设计成简单易懂且制作成本较低的电路。

六、性价比
本次课程设计采用的元件都是比较常见的一些电路元件,例如优先编码器74LS147,反相器74LS04等等,价格便宜,性能优异,且便于以后的简单维修。

由此可见,此次课程设计的性价比是比较高的。

七、课设体会及合理化建议
通过此次的数字电子技术基础的课程设计,在单独完成整个课设的过程中,我不但将已学的课本知识巩固了一遍,还学会了许多课外的知识,更加学会了multisim10仿真软件的使用。

这些都为以后的学习生活以及工作增加了许多的实践经验。

在课设的过程中,由于该电路的设计多采用已学的课本芯片,并且是基本按照课本中时序逻辑电路的设计来设计的电路。

在仿真的过程中,每一条连线不但考验我的细心还考验我的耐心,更加锻炼了我的一种整体上的思维,且学会了去走一步就提前去想下一步的该怎么走的思维方式。

而且,对于此次课设,除了要求我们达到要求外,还要求我们善于发现问题所在,及时解决问题,弄懂一些知识,并不仅仅局限于片面的了解。

因此,从此次课设,我学会了“心动不如行动”才是真理!而且,此次课设还要非常感谢葛老师的耐心指导!至于合理化的建议,我觉得课设老师做得都相当好,所以,只能由衷地表示感谢!
参考文献
[1] 阎石著. 数字电子技术基础[M]. 北京:高等教育出版社,2005年
[2] 童诗白、华成英主编. 模拟电子技术基础[M]. 北京:高等教育出版社,2006年
[3] 赵淑范、王宪伟主编.电子技术实验与课程设计[M].北京:清华大学出版社,2006年
[4] 孙肖子、邓建国主编.电子设计指南[M].北京:高等教育出版社,2006年
附录I 总电路图
附录II 元器件清单。

相关文档
最新文档