数字逻辑彩灯实验报告

合集下载

数字逻辑彩灯实验

数字逻辑彩灯实验

固定
29
EDA实验开发系统

EDA实验开发系统性能指标:

主芯片资源及性能 外围设备及接口 通用性、灵活性

硬件实验通用性

二次开发,用于开放设计和大赛
30
CHULP1 EDA实验装置


采用Altera公司推出的一款CycloneII系列FPGA 芯片----EP2C20Q240C8 EP2C20Q240C8名称含义
编程
EDA软件
+
空白PLD
数字系统
9
实现载体的变迁
• 集成于片上而不是集成于板上;
门电路
门电路
基本门安装在印制电路板上 集成电路
10
设计方法的变迁
• 借助于EDA工具而不是手工设计;
设计构想
集成电路
手工设计: 设计者 + 纸 + 笔
自动设计: 设计者 + EDA 工具
11
真有趣,可以按自己的想法设计一个芯片! 参加电子设计大赛!
2
内容提要


实验改革与安排 实验平台与EDA基础 QUARTUSII的使用 VHDL简介
实验改革

历史

电子技术实验

主要内容 分离元器件 基础(变动小) 主要用分离元器件+GAL+彩灯

彩灯创意无限


现实

电子技术实验

减小实验比例 增加大规模可编程器件实验 用大规模可编程器件控制 集中大家的智慧在创意上
实验基础: 硬件描述语言和EDA工具--VHDL、 QUARTUSII 原理图、PCB设计 --PROTEL (99se及以上版本)

彩灯控制数电实验报告

彩灯控制数电实验报告

数字电子技术课程设计报告题目:专业:班级:学号:姓名:指导教师:设计日期:目录一、设计目的作用――――――――――――――(1)二、设计要求――――――――――――――――――(1)三、设计的具体实现―――――――――――――――(1)四、总结――――――――――――――――――(10)五、附录――――――――――――――― (11)六、参考文献――――――――――――――― (12)彩灯控制电路一.设计目的作用随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓灯。

由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。

二.设计要求1.控制红、绿、黄一组彩灯循环闪亮,变化的规律是:红→红绿→绿→黄绿→黄→全亮→全灭→红,如此循环,产生“流水”般的效果。

2.“流水”的速度由快到慢,再由慢到快循环变化。

三、设计的具体实现1、系统概述彩灯控制电路由三个模块构成,显示电路﹑秒脉冲电路和维持电路。

秒脉冲电路全程为电路提供矩形波信号使彩灯定时发亮;显示电路为维持电路提供电源:维持电路在显示电路部分提供电源的情况下为电路提供一段较长的高电平,使彩灯在全部变亮后保持一段时间。

同时结合显示电路部分所带元件(主要是74LS194)的性质,使彩灯从右到左依次由暗变亮,亮后维持一段时间,然后熄灭,并且不断重复。

设计及框图如下2、单元电路设计与分析(1)﹑秒脉冲电路图 1、秒脉冲发生电路本电路秒脉冲电路由一个集成的555定时器够成,当电源接通后,VCC通过对R1﹑R2向电容器充电。

电容上得到电压按指数规律上升,当电压上升到2/3VCC时,输出电压V0为零,电容器放电。

当电压下降到1/3VCC时,输出电平为高电平,电容器放电结束。

这样周而复始形成了振荡。

脉冲发生器由NE555与R1,R2,RP,C1,C2组成的多谐振荡器组成,它是为灯光流动控制器提供流动控制脉冲的,多谐振荡器的振荡频率可根据所需要的灯光流动速度,通过RP进行调节,由于RP阻值较大,所以有较大的调速范围。

彩灯的plc控制实验报告

彩灯的plc控制实验报告

彩灯的plc控制实验报告彩灯的PLC控制实验报告实验目的本实验旨在掌握PLC控制器的基本应用,并通过使用PLC控制器来控制彩灯的颜色和亮度。

实验原理PLC(可编程逻辑控制器)是一种数字化控制设备,可以在工业自动化过程中使用。

在本实验中,我们使用的是由三种基本逻辑门即“与门”(AND)、“或门”(OR)和“非门”(NOT)组合起来的逻辑电路。

PLC的输入可以是触点信号、计数器、计时器等,其输出可以控制各种工业生产设备。

实验步骤1.使用PLC软件进行设置,将输入设置为按钮信号,输出设置为彩灯驱动控制信号。

2.通过设置逻辑电路,将输入的按钮信号转换为彩灯的颜色和亮度控制信号。

具体设置如下:•当按钮被按下,PLC输出亮度信号为100%。

•当按钮被按下且彩灯为红色时,PLC输出颜色控制信号为蓝色。

•当按钮被按下且彩灯为蓝色时,PLC输出颜色控制信号为绿色。

•当按钮被按下且彩灯为绿色时,PLC输出颜色控制信号为红色。

实验结果经过实验,PLC成功地控制了彩灯的颜色和亮度。

当按下按钮时,彩灯会根据所处的颜色逐次切换到下一个颜色,同时亮度会保持不变。

实验总结本实验通过使用PLC控制器,成功地控制了彩灯的颜色和亮度,达到了预期效果。

通过本实验,我们不仅掌握了PLC控制器的基本应用,也加深了对逻辑电路的理解。

在今后的工作学习中,我们将继续深入了解和应用PLC控制器。

实验中遇到的问题在实验中,我们遇到了一些问题,主要表现为:1.PLC软件的使用不熟悉,需要花费一定时间学习。

2.彩灯的亮度控制不稳定,需要多次尝试调整逻辑电路。

3.在实验中没有使用逆变器,彩灯的亮度限制在220V输入电压下,没有更好的亮度调节效果。

实验中的思考通过本次实验,我们认识到了PLC控制器在工业自动化过程中的重要作用。

PLC控制器具有高可靠性、高效率、易扩展等特点,可以满足多种复杂的自动化控制任务。

在今后的工作中,我们应该积极学习PLC控制器的使用,为工业生产自动化提供更加可靠的支持。

《数字逻辑电路》彩灯控制电路实训报告

《数字逻辑电路》彩灯控制电路实训报告

《数字逻辑电路》彩灯控制电路实训报告1 整机设计1.1 设计要求1.1.1设计任务利用所学的数字逻辑电路的相关理论知识设计并制作一个彩灯控制电路。

1.1.2性能指标要求1. 具有控制彩灯右移、左移、全亮及全灭等功能;2. 彩灯移动至少有 4 种速度;3. 可以实现循环左、右移;4. 彩灯的布图形状随意。

1.2 整机实现的基本原理及框图1.2.1基本原理彩灯控制电路利用移位寄存器(SN74LS194N)、定时器(NE555)和控制逻辑电路等元件相互配合,通过控制移位寄存器的状态和定时器的脉冲信号,实现彩灯的亮灭和移动效果。

1.2.2总体框图2 各功能电路实现原理及电路设计1. 脉冲产生电路使用NE555定时器芯片,NE555工作在脉冲发生器模式下,产生可调节频率的方波脉冲信号。

通过调节电阻和电容值,可以调节脉冲的周期。

2. 移位寄存器电路使用74LS194N移位寄存器芯片,它可以存储和移动数据。

在这里,我们将其配置为移动模式,用于控制彩灯的移动和亮灭。

3 制作与调试过程用 Altium Designer 软件画出电路原理图和 PCB 图;根据提供的电路设计图和所需元件清单,准备好所需的电子元件和工具;将元件按照电路设计图的连接方式焊接在电路板上。

确保焊接的连接正确并且没有短路;仔细检查电路的连接,确保所有元件都正确连接,并且没有接触不良或短路现象;将电路连接到电源上,确保电源的电压和电流符合元件的额定值,并确保极性正确。

逐步测试电路的各个功能模块,首先测试脉冲产生电路,确保它能够产生可调节周期的脉冲信号;然后测试移位寄存器电路,确保它能够正确存储和移动数据。

4 电路测试4.1 测试仪器与设备示波器;信号发生器;万用表。

4.2 功能测试使用开关,测试彩灯控制电路对按键的响应,观察LED指示灯的状态。

5 实训结论本次实训中,我们设计了一个彩灯控制电路,包括脉冲产生电路和移位寄存器电路。

通过功能测试,我得出了以下结论:1. 彩灯控制电路可调周期的脉冲产生电路,使用NE555定时器芯片实现。

彩灯的控制实训报告

彩灯的控制实训报告

实训题目:彩灯的控制1.整机设计1.1 设计要求1.1.1 设计任务设计由几种常用集成数字芯片组成的彩灯控制电路,彩灯用8个发光二极管代替,设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能。

1.1.2 性能指标要求一、设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能二、彩灯亮点移动时间间隔取1秒三、占空比约等于50%四、彩灯的布图形状随意1.2 整机实现的基本原理及框图1.2.1 基本原理通过两片集成双向移位寄存器74LS194和拨码开关控制右移﹑左移和一个拨码开关进行预置端让其全亮﹑全灭和一个由555芯片构成的CP产生电路。

移位寄存器74LS194是一个具有移位功能的寄存器,寄存器中所存的代码能够在一位脉冲的作用下依次左移或右移,555芯片是一种产生时间延迟和多种脉冲信号的电路,并且集成芯片74LS04是非门,能进行循环。

所以此电路的基本原理是由555芯片产生脉冲,传给移位寄存器74LS194,再经过非门与拨码开关实现循环、左移、右移、全亮、全灭的功能。

1.2.2 总体框图总体框图2.各功能电路实现原理及电路设计 整个电路的设计电路图:(1) 电源输入接口由一个接口和一个发光二极管(如果接入正确则灯亮)和一个300欧的电阻保护。

其电路图如下:电 源 输 入 接 口彩 灯 控 制 电 路555 芯 片 CP 产 生 电 路彩 灯 演 示 电 路电源输入接口(2)555芯片CP产生电路方案一:由555定时器接成多谐振动器。

其图如下:555定时器接成多谐振动器图振动周期: T=0.7(R1+2R2)C输出脉冲占空比: q=(R1+R2)/(R1+2R2)方案二:由555定时器接成多谐振动器但其占空比可调。

其图如下555定时器接成多谐振动器占空比可调图在这次电路设计中我选择的CP产生电路是第2中方案,是为了便于调占空比。

电容取:4.7μf 0.01μf电阻取:两个47kΩ 50kΩ精密电位器:50kΩ精密电位器其原理图如下:555芯片CP产生电路(2)彩灯控制电路2片移位寄存器74LS194级联实现。

四路彩灯显示系统逻辑电路设计

四路彩灯显示系统逻辑电路设计

哈尔滨工程大学数字逻辑综合性实验设计报告课程名称数字逻辑实验题目名称四路彩灯显示系统逻辑电路设计班级学号学生姓名同组班级同组学号同组姓名指导教师武俊鹏、孟昭林、刘书勇、赵国冬2013 年 06 月摘要四路彩灯常有于节庆场合,依据某种规则点亮或许闪耀彩灯,本次数字逻辑电路设计实验主要达成四路彩灯的控制流程,控制流程以下:第一路彩灯先点亮,而后挨次点亮第二路、第三路、第四路;第四路先灭,而后第三路、第二路、第一路挨次灭;四路彩灯均亮灭,共四次;从 1)开始循环。

本次实验采纳中小规模集成电路进行彩灯显示系统的设计,详细使用74LS161作为循环控制电路,74LS194控制彩灯花型显示,并用若干基本与门、非门、与非门等芯片基本逻辑电路。

重点词:四路彩灯;计数器;移位存放器;中小规模集成电路;目录目录1 需求剖析错误!不决义书签。

基本功能要求错误 ! 不决义书签。

创新拓展功能错误 ! 不决义书签。

设计原理错误!不决义书签。

系统逻辑构造设计错误 ! 不决义书签。

循环控制电路错误 !不决义书签。

四路彩灯状态显示错误 ! 不决义书签。

系统物理构造设计错误 ! 不决义书签。

循环控制电路物理构造错误 !不决义书签。

状态显示电路物理构造错误 !不决义书签。

完好系统电路物理构造错误 !不决义书签。

3 系统实现错误!不决义书签。

系统实现过程错误 !不决义书签。

系统测试错误 !不决义书签。

系统最后电路图错误 ! 不决义书签。

系统团队分工错误 !不决义书签。

4 总结错误!不决义书签。

参照文件错误!不决义书签。

1需求剖析基本功能要求用小规模集成电路设计并制作一个四路彩灯显示系统的要求以下:开机自动置入初始状态后即能按规定的程序进行循环显示。

程序由三个节拍构成:第一节拍时,四路输出 Q1~Q4挨次为 1,使第一路彩灯先点亮,接着第二路、第三路、第四路彩灯挨次点亮;第二节拍时, Q4~Q1挨次为 0,使第四路先灭,而后第三路、第二路、第一路彩灯挨次灭;第三节拍时, Q1~Q4 输出同时为 1 态,而后同时为 0 态,使四路彩灯同时点亮,而后同时灭,共进行 4 次。

彩灯控制器数字电路实验报告

彩灯控制器数字电路实验报告

内蒙古师范大学计算机与信息工程学院《数字电路》课程设计报告设计题目彩灯控制器电路设计指导教师职称讲师姓名学号日期2012/7/5彩灯控制器电路设计计算机信息与工程学院 2010级计科师范汉班柴宁娇 20101102059指导老师张鹏举讲师摘要八路循环彩灯控制器整体电路由三部分组成:脉冲发生电路、移位寄存器、控制电路。

其中用时钟脉冲来启动电路,使其发出不同的频率产生不一样的脉冲,控制发光二极管,使电路更好的工作。

主要采用 74LS194 芯片接成扭环形结构的移位器来实现,最后做到两种花型的彩灯循环控制。

关键词脉冲发生电路;移位寄存器;控制电路;彩灯循环。

1 设计任务及主要技术指标和要求1.1 设计任务要求设计一个能够控制八路彩灯的逻辑电路。

1.2 主要技术指标和要求(1) 要求彩灯组成二种花型。

花型可以自己设置。

例如:花型Ⅰ——由中间到两边对称性依次亮,全亮后由中间向两边依次灭。

花型Ⅱ——8路灯分两半,从左自右顺次亮,再顺次灭。

(2) 要求两种花型交替出现。

2 工作原理要想实现本实验,需要实际时钟产生电路,循环控制电路和彩灯左右移,及全亮全灭输出电路。

时钟脉冲产生电路由脉冲发生器产生连续的脉冲。

循环电路采用74LS161 ,74LS194实现彩灯的循环控制。

具体主要通过两片双向移位寄存器74LS194 来实现彩灯电路控制,通过脉冲发生器来产生连续时钟信号的输入,由74LS161计数器来控制信号的移动方向,实现左移,右移及亮灭的功能。

总体电路原理图如下:时钟信号的产生花型的控制电路(74LS161)花型的显示电路花型演示电路(74LS194)图1:总体电路原理图花型控制电路:由74LS161 4位二进制同步计数器完;花型演示电路:由74LS194双向移位寄存器完成(可左移右移完成花型变化)。

3 基本组成3.1 花型控制信号电路由一片74LS161(两种花型每种显示一遍)计数器。

74LS161芯片用的是同步置数,并清零。

彩灯实验报告

彩灯实验报告

实验报告课程名称可编程逻辑器件实验项目名称彩灯学生班级学生姓名2013年 04 月 21 日目录一、实验目的和要求二、实验方案设计及其原理三、硬件要求四、源程序和下载结果五、实验结果分析六、实验收获和体验一、实验目的和要求熟悉分频的分频原理,并将之进行多次分频,将50MHZ的频率信号分为小频率输入,实现彩灯的闪烁快慢,进一步了解可编程程序的编写,熟用可编程的基本语句,能够独立思考并且完成一个的方案。

要求:1、要有4种花型变化2、多种花型可以自动变换、循环往复3、彩灯的快慢可以选择4、具有清零的开关二、实验方案设计及其原理经过对问题的分析和初步的整体思考,拟定以下方案:先将信号进行多次分频,以便使得彩灯的节奏可以选择,再用两个开关控制得到的四个频率,接着进行花型选择.第一次分出的频率为20HZ,第二次为10HZ,第三次为5HZ,第四次为0.5HZ;花型变换第一种:红灯先逐一亮起,接着是黄灯,最后是绿灯。

第二种:先依次亮前两盏红灯、黄灯、绿灯,再依次亮后面的两盏。

第三种:依次亮起四盏红灯、黄灯、绿灯。

第四种:亮起首尾的两盏红灯,中间的两盏黄灯,首尾的两盏绿灯,再中间的两盏红灯,首尾的两盏黄灯,中间的两盏绿灯。

三、硬件要求主芯片EPM240T100C5,3个开关,12个具有红黄绿的灯。

四、源程序和下载结果1.源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity caideng isport(rst,clk:in std_logic;speed:in std_logic_vector(0 to 1);r:out std_logic_vector(0 to 3);red,y,g:out std_logic);end;architecture one of caideng issignal d:integer range 0 to 26;signal clk1,clk2,clk3,clk4:std_logic; signal w:std_logic;beginprocess(clk)variable cnt1:integer range 0 to 1000; variable cnt2:integer range 0 to 1250; beginif clk'event and clk='1' thenif cnt1=1000 thencnt1:=0;if cnt2=1250 thencnt2:=0;clk1<=not clk1;elsecnt2:=cnt2+1;end if;elsecnt1:=cnt1+1;end if;end if;end process;process(clk1)variable cnt1:integer range 0 to 1; beginif clk1'event and clk1='1' then if cnt1=1 thencnt1:=0;clk2<=not clk2;elsecnt1:=cnt1+1;end if;end if;end process;process(clk2)variable cnt1:integer range 0 to 1; beginif clk2'event and clk2='1' then if cnt1=1 thencnt1:=0;clk3<=not clk3;elsecnt1:=cnt1+1;end if;end if;end process;process(clk3)variable cnt1:integer range 0 to 5; beginif clk3'event and clk3='1' thenif cnt1=5 thencnt1:=0;clk4<=not clk4;elsecnt1:=cnt1+1;end if;end if;end process;process(speed)begincase speed iswhen "00"=>w<=clk1;when "01"=>w<=clk2;when "10"=>w<=clk3;when "11"=>w<=clk4;end case;end process;process(w)beginif w'event and w='1' thenif d=26 thend<=0;else d<=d+1;end if;end if;end process;process(rst,d)variable r1:std_logic_vector(0 to 3); variable red1,y1,g1:std_logic; beginif rst='0' thenr1:="1111";red1:='0';y1:='0';g1:='0';elsecase d iswhen 0=>r1:="0111";red1:='1';y1:='0';g1:='0';when 1=>r1:="1011";red1:='1';y1:='0';g1:='0';when 2=>r1:="1101";red1:='1';y1:='0';g1:='0';when 3=>r1:="1110";red1:='1';y1:='0';g1:='0';when 4=>r1:="0111";red1:='0';y1:='1';g1:='0';when 5=>r1:="1011";red1:='0';y1:='1';g1:='0';when 6=>r1:="1101";red1:='0';y1:='1';g1:='0';when 7=>r1:="1110";red1:='0';y1:='1';g1:='0';when 8=>r1:="0111";red1:='0';y1:='0';g1:='1';when 9=>r1:="1011";red1:='0';y1:='0';g1:='1';when 10=>r1:="1101";red1:='0';y1:='0';g1:='1';when 11=>r1:="1110";red1:='0';y1:='0';g1:='1'; --一种亮法when 12=>r1:="0011";red1:='1';y1:='0';g1:='0';when 13=>r1:="0011";red1:='0';y1:='1';g1:='0';when 14=>r1:="0011";red1:='0';y1:='0';g1:='1';when 15=>r1:="1100";red1:='0';y1:='0';g1:='1';when 16=>r1:="1100";red1:='0';y1:='1';g1:='0';when 17=>r1:="1100";red1:='1';y1:='0';g1:='0'; --二种亮法when 18=>r1:="0000";red1:='1';y1:='0';g1:='0';when 19=>r1:="0000";red1:='0';y1:='1';g1:='0';when 20=>r1:="0000";red1:='0';y1:='0';g1:='1'; --三种亮法when 21=>r1:="0110";red1:='1';y1:='0';g1:='0';when 22=>r1:="1001";red1:='0';y1:='1';g1:='0';when 23=>r1:="0110";red1:='0';y1:='0';g1:='1';when 24=>r1:="1001";red1:='1';y1:='0';g1:='0';when 25=>r1:="0110";red1:='0';y1:='1';g1:='0';when 26=>r1:="1001";red1:='0';y1:='0';g1:='1'; --四种亮法end case;end if;r<=r1;red<=red1;y<=y1;g<=g1;end process;end;2.下载结果灯按照前面所示的花型进行亮着,并通过其中两个控制频率大小的开关(speed)可以进行控制灯闪烁的快慢,清零信号端(rst)可以执行所需要的要求,即当rst为1时,灯会按照所编写程序的要求运作。

数字电路_实验八_彩灯控制电路设计

数字电路_实验八_彩灯控制电路设计

实验八彩灯控制电路设计
一、实验目的:
掌握彩灯控制电路的设计方法。

二、实验设备:
数字电路实验箱,74LS00、74LS90、74LS86、74LS138。

三、实验原理:
74LS00是四2输入与非门,74LS90是二—五—十进制异步计数器,74LS86是四2输入异或门,74LS138是三—八线译码器。

四、实验内容:
输入00,彩灯全灭;输入01,彩灯左移;输入10,彩灯右移;输入11,彩灯全亮。

五、实验结果:
用74LS90实现四进制计数,通过74LS138译码成移位信号,实现彩灯左右移。

左右移由异或门控制。

00、01、10、11分别与0异或就是原码,与1异或就是反码11、10、01、00,彩灯移动方向刚好相反。

译码器的EN1由输入异或的结果控制,输入00、11时译码器不工作,输出全为1,通过运算可以得到全0的结果:
输入:00
输入:01
输入:10
输入:11
经验证,结果与理论相符。

VHDL_fpga_数电实验_彩灯_LED_实验报告

VHDL_fpga_数电实验_彩灯_LED_实验报告

彩灯VHDL设计在日常生活中,为了增加聚会或是过节氛围,经常要使用到彩灯循环点亮。

单一的循环可能无法起到理想的效果,通过不同花样的变换则能够很好的完成任务。

多路彩灯循环控制可以有多种实现方法,例如利用单片机可以实现,利用数字电路时序逻辑也可以实现。

我们这里利用VHDL语言实现对多路彩灯的控制。

彩灯的一种点亮方式经过足够长的延时后,转变为了其他的点亮方式。

这就需要一个CLK信号完成,CLK信号可以由晶体振荡器或者是振荡电路产生。

至于各种不同花样彩灯的变换,我们可以通过增加输入信号Sel来控制。

Sel为两位二进制数,分别为00,01,10,11,四种状态对应四种花样。

同时系统还需一个复位信号实现对电路的清零控制。

输出八位彩灯,我们这里用LED发光二极管代替。

当电路输出为“1”时,LED点亮。

当电路输出为“0”时,LED熄灭。

利用VHDL语言实现该功能程序如下:LIBRARY IEEE;USE IEEE.std_logic_1164.ALL;USE IEEE.std_logic_ARITH.ALL;USE IEEE.std_logic_UNSIGNED.ALL;ENTITY cd ISport( clk,clk_use:in std_logic;rst:in std_logic;light:out std_logic_vector(7 downto 0));END cd;ARCHITECTURE control OF cd IStype states is (s0,s1,s2,s3,s4,s5);signal state:states;SIGNAL clk1ms:std_logic:='0';SIGNAL cnt1:std_logic_vector(3 downto 0):="0000";SIGNAL cnt2:std_logic_vector(3 downto 0):="0000";SIGNAL cnt3:std_logic_vector(2 downto 0):="000";SIGNAL cnt4:std_logic_vector(2 downto 0):="000";SIGNAL cnt5:std_logic_vector(3 downto 0):="0000";SIGNAL cnt6:std_logic_vector(3 downto 0):="0000";BEGINPROCESS(clk1ms)BEGINif clk1ms'event and clk1ms='1' thenif state=s0 then --第一种彩灯花样的程序if (cnt1="1111") thencnt1<="0000";else cnt1<= cnt1+1;end if;case cnt1 iswhen "0000"=>light<="10000000";when "0001"=>light<="10100000";when "0010"=>light<="10101000"; when "0011"=>light<="10101010"; when "0100"=>light<="11101010"; when "0101"=>light<="11111010"; when "0110"=>light<="11111110"; when "0111"=>light<="11111111"; when "1000"=>light<="11111110"; when "1001"=>light<="11111010"; when "1010"=>light<="11101010"; when "1011"=>light<="10101010"; when "1100"=>light<="10101000"; when "1101"=>light<="10100000"; when "1110"=>light<="10000000"; when others=>light<="00000000";end case;elsif state=s1 then -- 第二种彩灯花样的程序if cnt2="1111" thenCnt2<="0000";else cnt2<= cnt2+1;end if;case cnt2 iswhen "0000"=>light<="11111111"; when "0001"=>light<="01111111"; when "0010"=>light<="00111111"; when "0011"=>light<="00011111"; when "0100"=>light<="00001111"; when "0101"=>light<="00000111"; when "0110"=>light<="00000011"; when "0111"=>light<="00000001"; when "1000"=>light<="00000011"; when "1001"=>light<="00000111"; when "1010"=>light<="00001111"; when "1011"=>light<="00011111"; when "1100"=>light<="00111111"; when "1101"=>light<="01111111"; when "1110"=>light<="11111111"; when others=>light<="00000000";end case;elsif state=s2 then -- 第三种彩灯花样的程序if cnt3="100" thenCnt3<="000";else cnt3<= cnt3+1;end if;case cnt3 iswhen "000"=>light<="10000001"; when "001"=>light<="11000011"; when "010"=>light<="11100111"; when "011"=>light<="11111111"; when others=>light<="00000000";end case;elsif state=s3 then -- 第四种彩灯花样的程序if cnt4="100" thencnt4<="000";else cnt4<= cnt4+1;end if;case cnt4 iswhen "000"=>light<="00011000"; when "001"=>light<="00111100"; when "010"=>light<="01111110"; when "011"=>light<="11111111"; when others=>light<="00000000";end case;elsif state=s4 then --第五种彩灯花样的程序if cnt5="1111" thenCnt5<="0000";else cnt5<=cnt5+1;end if;case cnt5 iswhen "0000"=>light<="11000000"; when "0001"=>light<="01100000"; when "0010"=>light<="00110000"; when "0011"=>light<="00011000"; when "0100"=>light<="00001100"; when "0101"=>light<="00000110"; when "0110"=>light<="00000011"; when "0111"=>light<="00000110"; when "1000"=>light<="00001100"; when "1001"=>light<="00011000"; when "1010"=>light<="00110000"; when "1011"=>light<="01100000"; when "1100"=>light<="11000000"; when others=>light<="00000000";end case;elsif state=s5 then -- 第六种彩灯花样的程序if cnt6="1111" thenCnt6<="0000";else cnt6<=cnt6+1;end if;case cnt6 iswhen "0000"=>light<="00000011"; when "0001"=>light<="00000110"; when "0010"=>light<="00001100"; when "0011"=>light<="00011000"; when "0100"=>light<="00110000"; when "0101"=>light<="01100000"; when "0110"=>light<="11000000"; when "0111"=>light<="01100000"; when "1000"=>light<="00110000"; when "1001"=>light<="00011000"; when "1010"=>light<="00001100"; when "1011"=>light<="00000110"; when "1100"=>light<="00000011"; when others=>light<="00000000";end case;end if;end if;END PROCESS P1;P2:PROCESS(clk) --分频进程variable cnt:integer range 0 to 10; BEGINIF(RST='0')thencnt:=0;ELSIF(clk'EVENT AND clk='1')thenif cnt<9 thencnt:=cnt+1;clk1ms<='0';elsecnt:=0;clk1ms<='1';end if;end if;end PROCESS P2;process(rst)--循环beginif (rst='1') thenstate<=s0;elseif(clk_use'event and clk_use='1') thencase state iswhen s0 =>state<=s1;when s1=>state<=s2;when s2=>state<=s3;when s3 =>state<=s4;when s4=>state<=s5;when s5=>state<=s1;end case;end if;end if;end process;end control;本控制电路采用VHDL语言设计。

数字电路实验 可编程彩灯电路实验报告

数字电路实验    可编程彩灯电路实验报告

《数字电子技术基础》课程实验报告实验名称:班级:小组成员:一、实验目的1、掌握计数器、移位寄存器电路的原理及应用。

2、掌握比较器或译码器电路的应用方法。

3、掌握555电路的应用方法。

二、设计任务和要求1、分析图示电路功能。

2、完成振荡电路及分频电路的设计。

3、连接整体电路,测试分析实验结果。

要求:1、彩灯电路循环速度肉眼可辨。

2、可2灯循环,3灯循环,……,8等循环。

最少6灯,可扩张成可逆循环。

3、要求有功能扩展。

三、电路原理图:1.彩灯电路框图:2.电路总实验图:开关设置及实现功能:(1)选择拨码开关2到8开关中的一个实现2到8灯的循环。

(2)J2、J3接高电平时,实现预置功能,预置的值为01111111,可以看到LED13亮,其余灯灭。

(3)J3接高电平、J2接与非门时,实现LED灯右移的功能。

(4)J2接高电平、J3接与非门时,实现LED灯左移的功能。

(5)J2、J3接与非门时,实现暂停功能四、实验原件分析:1. 集成555定时器:集成555定时器的管脚图、内部结构图所示:555定时电路由2个比较器、1个基本RS 触发器、1个反相缓冲器、1个漏极开路的NMOS 管和3个5K 的电阻组成分压器组成,功能表见下: TH (6) TR (2) R(4) OUT(3) T 管 D (7) × × L L 导通 L >2/3UDD×H L 导通 L <2/3UDD >1/3UDD H 不变 不变 不变 <2/3UDD <1/3UDDHH截止H2. 74LS161从74LS161功能表功能表中可以知道,当清零端CR=0,计数器输出Q3、Q2、Q1、Q0立即为全0,这个时候为异步复位功能。

当CR=1且LD=0时,在CP 信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。

而只有当CR=LD=EP=ET=1、CP 脉冲上升沿作用后,计数器加1。

彩灯控制器设计及实验报告三篇

彩灯控制器设计及实验报告三篇

彩灯控制器设计及实验报告三篇篇一:多路彩灯控制器的设计一课程设计题目(与实习目的)(1)题目:多路彩灯控制器(2)实习目的:1.进一步掌握数字电路课程所学的理论知识。

2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。

3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。

4.培养认真严谨的工作作风和实事求是的工作态度。

5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。

二任务和要求实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。

因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。

(1)彩灯控制器设计要求设计一个8路移存型彩灯控制器,要求:1.彩灯实现快慢两种节拍的变换;2.8路彩灯能演示三种花型(花型自拟);3.彩灯用发光二极管LED模拟;4.选做:用EPROM实现8路彩灯控制器,要求同上面的三点。

(2)课程设计的总体要求1.设计电路实现题目要求;2.电路在功能相当的情况下设计越简单越好;3.注意布线,要直角连接,选最短路径,不要相互交叉;4.注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。

三总体方案的选择(1)总体方案的设计针对题目设计要求,经过分析与思考,拟定以下二种方案:方案一:总体电路共分三大块。

第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。

主体框图如下:方案二:在方案一的基础上将整体电路分为四块。

第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。

并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。

主体框图如下:(2)总体方案的选择方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。

四路彩灯实验报告

四路彩灯实验报告

《电子工艺与数字逻辑》课程设计报告实验地点实验楼4-18 、3-11专业计算机科学与技术年级2010级科技1班姓名学号指导教师实验时间2012-2-27 —2012-6-18目录1 设计任务书 (3)1.1设计题目: (3)1.2设计任务: (3)2 设计 (3)2.1设计软件环境要求 (3)2.2设计思路 (3)2.3设计方案 (5)2.4各单元电路的设计 (7)2.4.1模12计数器74LS163设计 (7)2.4.2通用移位寄存器74LS194控制四个彩灯 (7)2.5EWB仿真电路图 (8)3实现 (9)3.1器件清单 (9)3.2实物电路图 (9)3.3结果 (11)4实验总结 (11)5参考文献 (12)1设计任务书1.1设计题目:四路彩灯控制器。

1.2设计任务:设计一个四路彩灯控制器,设计要求如下:(1)接通电源后,彩灯可以自动按预先设置的程序循环闪烁。

(2)设置的彩灯花型由三个节拍组成:第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s;第二节拍:四路彩灯从右向左逐次渐灭,也需4s;第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也为4s。

(3)三个节拍完成一个循环,一共需要12s。

一次循环之后重复进行闪烁。

2设计2.1设计软件环境要求Windows 200,EWB软件2.2设计思路四路彩灯工作过程2.3设计方案用四个发光二极管来替代四个彩灯,设依次为d Q c Q b Q a Q 设“1”表示灯亮,“0”表示灯灭,由要求列出状态表表1 四路彩灯输出显示由上表可知,可以选用模12计数器作为分频器,起节拍产生和控制作用,每4s一个节拍,3个节拍共12s后反复循环。

要实现模12计数器的话用74LS161(当然在实际操作中可以用74LS163代替161,因为74LS163的引脚排列和74LS161相同)。

一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。

plc彩灯控制实验报告

plc彩灯控制实验报告

plc彩灯控制实验报告PLC彩灯控制实验报告摘要:本实验旨在利用PLC(可编程逻辑控制器)控制彩灯的亮灭和颜色变化,通过实验验证PLC在彩灯控制方面的可行性和稳定性。

实验结果表明,PLC彩灯控制具有良好的效果,能够实现精准的控制和多种颜色的变化。

引言:随着科技的不断发展,PLC在工业自动化控制领域得到了广泛的应用。

彩灯控制是其中的一个重要应用场景,通过PLC可以实现对彩灯的精准控制,包括亮灭和颜色的变化。

本实验旨在验证PLC在彩灯控制方面的可行性和稳定性,为工业生产和生活提供更加智能化的解决方案。

实验目的:1. 了解PLC的基本工作原理和控制方法;2. 掌握PLC彩灯控制的硬件和软件配置方法;3. 验证PLC在彩灯控制方面的可行性和稳定性。

实验设备和材料:1. PLC控制器;2. 彩灯模块;3. 电源供应器;4. 串口通信线;5. 电脑;6. PLC编程软件。

实验步骤:1. 将PLC控制器和彩灯模块连接好,并接入电源供应器;2. 通过串口通信线将PLC控制器连接到电脑上,并打开PLC编程软件;3. 编写PLC程序,实现彩灯的亮灭和颜色变化控制;4. 上传程序到PLC控制器,并进行调试;5. 观察实验现象,记录实验数据。

实验结果:经过实验验证,PLC彩灯控制具有良好的效果。

通过PLC程序的编写和调试,我们成功实现了对彩灯的亮灭和颜色变化的精准控制。

无论是单色灯还是多色灯,PLC都能够满足需求,并且具有较高的稳定性和可靠性。

结论:本实验验证了PLC在彩灯控制方面的可行性和稳定性,为工业生产和生活提供了更加智能化的解决方案。

PLC彩灯控制技术的应用将为人们的生活带来更加丰富多彩的体验,也将在工业生产中发挥重要作用。

希望通过本实验,能够进一步推动PLC技术在彩灯控制领域的应用和发展。

plc彩灯控制实验报告

plc彩灯控制实验报告

PLC彩灯控制实验报告简介本实验旨在使用PLC(可编程逻辑控制器)控制彩灯的颜色和亮度。

PLC是一种常见的工业自动化设备,用于控制各种机械和设备。

通过本实验,我们可以学习如何使用PLC编程语言控制彩灯。

材料清单在进行本实验之前,我们需要准备以下材料: - PLC设备 - 彩灯 - 电源线 - 连接线 - 计算机实验步骤步骤一:连接PLC和彩灯1.将PLC设备连接到电源,并确保其正常工作。

2.将彩灯连接到PLC设备上的输出端口。

确保连接正确,以免损坏设备。

步骤二:编写PLC程序1.打开计算机上的PLC编程软件。

常见的PLC编程软件有Siemens S7、Rockwell RSLogix等。

2.创建一个新的程序,并为其命名。

3.在程序中添加必要的变量和数据。

这些变量将用于控制彩灯的颜色和亮度。

例如,你可以添加一个变量来表示红色通道的亮度,另一个变量表示绿色通道的亮度,以此类推。

4.编写逻辑控制语句来控制彩灯的颜色和亮度。

例如,你可以使用if-else语句来根据输入信号的状态设置彩灯的颜色。

你还可以使用数学运算来控制彩灯的亮度。

步骤三:上传程序到PLC设备1.将计算机与PLC设备连接。

通常可以通过串口、以太网或USB进行连接。

2.在PLC编程软件中选择上传程序的选项。

这将把编写好的程序上传到PLC设备中。

3.等待程序上传完成,并确保没有出现错误或警告。

步骤四:测试彩灯控制1.打开PLC设备,确保其正常运行。

2.检查彩灯是否连接正确,并确保电源供应正常。

3.根据编写的PLC程序,给PLC设备输入相应的信号。

这些信号可以来自于传感器、按钮或其他输入设备。

4.观察彩灯的变化。

如果PLC程序正确,你应该能够通过改变输入信号来控制彩灯的颜色和亮度。

结论通过本实验,我们学习了如何使用PLC设备控制彩灯的颜色和亮度。

我们了解了PLC编程语言的基本概念,并学会了使用PLC编程软件来编写控制程序。

通过实验的步骤,我们成功地实现了彩灯的控制,并能够通过改变输入信号来改变彩灯的颜色和亮度。

plc彩灯实验报告

plc彩灯实验报告

plc彩灯实验报告PLC彩灯实验报告引言:PLC(Programmable Logic Controller,可编程逻辑控制器)是一种用于工业自动化控制系统的数字计算机。

彩灯实验是PLC应用的一个常见实验,通过控制PLC来实现彩灯的变换和闪烁,展示PLC在灯光控制方面的应用。

一、实验目的本实验旨在通过PLC控制彩灯的亮灭、颜色和闪烁频率,了解PLC的基本控制原理和应用。

二、实验器材和原材料1. PLC控制器2. 彩灯组件(包括红、绿、蓝三种颜色的LED灯)3. 电源线、连接线等辅助材料三、实验步骤1. 连接电源线:将PLC控制器与电源线连接,并接通电源。

2. 连接彩灯组件:将彩灯组件与PLC控制器相应的输入输出端口连接。

3. 编写PLC程序:通过PLC编程软件,编写控制彩灯的程序。

根据实验要求,可以设置彩灯的亮灭、颜色和闪烁频率等参数。

4. 上传程序:将编写好的PLC程序上传到PLC控制器中,使其能够执行相应的控制命令。

5. 运行实验:按下PLC控制器上的启动按钮,观察彩灯的变化和闪烁效果。

四、实验结果与分析经过实验,我们成功地实现了对彩灯的控制。

通过PLC程序的编写,我们可以根据需要控制彩灯的亮灭、颜色和闪烁频率。

这种灵活的控制方式使得彩灯在不同场合下都可以展现出不同的效果,增加了彩灯的艺术性和观赏性。

在实验过程中,我们还发现了一些问题。

首先,PLC程序的编写需要一定的技术和经验,对于初学者来说可能会有一定的难度。

其次,彩灯的连接和布线也需要注意,以免出现接触不良或短路等问题。

最后,PLC控制器的使用需要谨慎,避免误操作或操作失误导致电路短路或其他安全问题。

五、实验应用与展望PLC彩灯实验是PLC应用的一个典型案例,通过该实验可以深入了解PLC的控制原理和应用。

在实际工程中,PLC广泛应用于各种自动化控制系统中,如工厂生产线、机械设备控制等。

彩灯控制只是PLC应用的冰山一角,PLC还可以实现更复杂的控制任务,如温度控制、压力控制、流量控制等。

四路彩灯设计实验报告

四路彩灯设计实验报告

电子工艺与数字逻辑课程设计报告班级:姓名:学号:指导教师:撰写日期:2013.06.09肇庆学院计算机学院软件学院目录第一章课程设计内容与要求分析 ................................................................................................... - 3 -1.1任务与要求 (3)1.2设计要求 (3)1.3主要设计条件 (3)第二章设计总思路........................................................................................................................... - 4 -2.1基本原理 (4)2.2框图 (4)第三章单元电路设计......................................................................................................................... - 5 -3.1时钟脉冲产生电路 (5)3.1.1....................................................................................................................................... - 5 -3.1.2具体实现...................................................................................................................... - 5 -3.2循环控制电路 (6)3.2.1....................................................................................................................................... - 6 -3.2.2....................................................................................................................................... - 6 -3.3彩灯花样输出电路.. (6)3.3.1运用到74LS194功能表.............................................................................................. - 6 -3.3.2通过12进制计数器的输出端的C、D信号控制移位寄存器的S0和S1及其CLR'端真值表..................................................................................................................................... - 7 -第四章总电路设计......................................................................................................................... - 8 -第五章实验、调试及测试结果分析 ............................................................................................... - 8 -5.1结果的调试及分析 (8)5.2调试中出现的故障、原因及排除方法 (9)5.2.1彩灯只有一种花样变化,没有其它的花样:.......................................................... - 9 -5.2.2彩灯无规律变化:...................................................................................................... - 9 -5.2.3彩灯的四种花样都有,但一次循环结束到另一次循环开始中间有段时间彩灯不亮:..................................................................................................................................................... - 9 -第六章课程设计总结..................................................................................................................... - 10 -参考文献........................................................................................................................................... - 10 -附录................................................................................................................................................... - 10 -第一章课程设计内容与要求分析1.1 任务与要求用中规模集成电路设计并制作一个四路彩灯显示系统,要求如下:1、开机自动置入初始状态后即能按规定的程序进行循环显示。

plc彩灯控制实验报告

plc彩灯控制实验报告

plc彩灯控制实验报告PLC彩灯控制实验报告引言:PLC(可编程逻辑控制器)是一种广泛应用于工业自动化领域的控制设备。

它以其高可靠性、灵活性和易于编程的特点,成为现代工业生产过程中不可或缺的一部分。

本实验旨在通过使用PLC控制彩灯的亮灭和颜色变换,展示PLC在实际应用中的强大功能和便捷性。

实验目的:1. 了解PLC的基本原理和工作方式;2. 学习如何使用PLC控制彩灯的亮灭和颜色变换;3. 探索PLC在实际应用中的潜力和优势。

实验器材:1. PLC控制器:本实验采用西门子S7-1200系列PLC控制器;2. 彩灯:使用RGB彩灯,可以通过控制电压和信号来实现不同的颜色变化;3. 电源和电缆:用于连接PLC控制器和彩灯。

实验步骤:1. 连接电源和电缆:将PLC控制器和彩灯分别连接到电源,并通过电缆将它们连接在一起。

2. 编写PLC程序:使用PLC编程软件,编写一个简单的程序,控制彩灯的亮灭和颜色变换。

在程序中,定义输入信号和输出信号,并设置相应的逻辑条件和动作。

3. 上传程序到PLC控制器:将编写好的PLC程序上传到PLC控制器中,使其能够执行相应的控制逻辑。

4. 运行实验:通过操作PLC控制器的按钮或者通过外部输入信号,触发PLC程序执行,实现彩灯的亮灭和颜色变换。

实验结果:经过实验,我们成功地使用PLC控制器实现了彩灯的亮灭和颜色变换。

通过改变PLC程序中的逻辑条件和动作,我们可以轻松地实现不同的控制效果。

例如,我们可以设置当输入信号为1时,彩灯亮红色;当输入信号为2时,彩灯亮绿色;当输入信号为3时,彩灯亮蓝色。

这样,我们可以根据需要随时改变彩灯的颜色,实现不同的视觉效果。

讨论与分析:PLC控制器在工业自动化中的应用非常广泛。

它可以灵活地控制各种设备和系统,实现自动化生产过程的精确控制。

在本实验中,我们通过控制彩灯的亮灭和颜色变换,展示了PLC在实际应用中的强大功能和便捷性。

通过编写简单的程序,我们可以实现复杂的控制逻辑,而无需进行复杂的电路设计和布线工作。

基于数字逻辑的四路彩灯

基于数字逻辑的四路彩灯

本科毕业设计题目基于数字逻辑的四路彩灯姓名 xxx专业自动化学号 201042171 指导教师马俊兴郑州科技学院电气工程学院二○一四年五月目录摘要 (I)Abstract ........................................................................................................................ I I 绪论 (III)1 四路彩灯总体方案设计与选择 (1)1.1 设计思路与原理 (1)1.2 方案设计整体框图 (2)2 各单元电路设计 (4)2.1 脉冲产生电路 (4)2.2 四种花样码产生电路 (8)2.3 花样状态转换电路 (11)2.3.1 自动四花样循环控制电路的设计 (11)2.3.2 手动控制四花样循环电路的设计 (17)2.4 显示电路的设计 (18)2.5 总电路设计 (20)3 焊接和调试 (21)3.1 彩灯控制器焊接 (21)3.2 四路彩灯整个系统的调试 (21)总结 (22)致谢 (23)参考文献 (24)附录1元器件清单 (25)附录2实做实物图 (26)附录3总体电路仿真设计 (27)基于数字逻辑的四路彩灯摘要根据毕业设计任务书和开题报告,本设计要求完成《基于数字逻辑的四路彩灯》实物设计和设计报告。

本文在基于实物设计的基础上介绍了四路彩灯的发展概况以及相关领域国内外应用的现状及发展趋势,详细说明设计思路与原理及框图,各单元电路设计,总电路设计图等内容。

四路彩灯控制电路,主要通过计数器和译码器实现流水功能,利用组合电路实现自控、手控、流速控制等功能。

本设计的四路流水灯控制器,有8只LED灯,按以下要求亮灭:一亮一灭,从左向右移动;两亮两灭,从左向右移动;四亮四灭从左向右移动;从左到右逐次点亮,然后逐次熄灭四种方式;四种花样可自动变换手动变换。

关键词:四路彩灯;花样切换;位移显示;流速控制FOUR LANTERN ROAD BASED ON DIGITALLOGICAbstractAccording to the graduation design task book and the title of the report,the design requirements, complete the "digital logic four lantern road"project design and design report based on. Based on the physical design is introduced on the current situation and development trend of domestic development situation of multiple lights and related fields of application, detailed description of the design idea and principle and block diagram, each unit circuit design, circuit design etc.. Four road lights control circuit, mainly through the counter and decoder, inverse function is reversible realization of water, using a combination of circuit to realize automatic control, hand control, flow control and other functions. The timing function, four road lamp controller of this design,there are 8 LED lamp, in accordance with the following requirements: alight on and off, moving from left to right; two bright two arc, moving from left to right; four bright four arc moves from left to right; from left to right successive lit, then successive extinguished; four automatic pattern transformation; four way manual control.Keywords: four lantern road; synchronized switch; displacement; flow control绪论科技的发展时代的进步,人类对美的诉求是不断提升的,全领域的,人们的衣食住行水准得到了空前的提高及其仍然正在日新月异的变化发展着,关于照明领域由取自最初天然的野火光照明到后来油灯照明,蜡烛照明直到电气时代的道来人们研究出电气照明工具--电灯,材料科学的发展,人们需求的增长,最初照明灯具也开始衍变出他的另一功能--美化。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

《数字逻辑电路》实验报告
实验:彩灯
姓名:郭兵权
学号:120341108
12级计算机系A 班
邮箱:ronacaodo@
时间:2014年9月
一、实验目的
实现彩灯的效果。

基本功能:
1、有4种不同的花样变化,每种花样持续一定时间自动换成下一个花样,自动循环变换。

2、用8路LED灯来输出。

即每种花样都由8路LED灯来显示花样的变化。

扩展功能:
1、能显示花样的种数。

即给每种花样赋予相应的编号,当此种花样运行的时候,在数码管上显示相应的编号。

2、花样的选择可以控制。

即可以通过按键来选择输出的花形,并且和自动循环自由切换。

三、实验器材/环境
编写环境:Quartus Ⅱ;运行环境:EDA实验箱
芯片:74LS160(十进制计数器),74LS161(十六进制计数器)
74LS153(双四选一数据选择器),74LS164(八位移位寄存器)
74LS47(4—7七段数码管译码器)
四、实验设计思路
我将实验设计为四个模块:分频器,周期控制模块,花型控制显示模块,数码管显示模块。

总的设计思路如下:
首先,48Mhz的脉冲输入到逻辑电路,然后通过分频器分出需要的频率,由这个频率来控制之后每个LED灯亮的时间。

之后的周期控制模块对脉冲进行进一步的分频,将周期调整为一定的时间,这个时间就是每种花型运行的时间,同时也控制七段数码管在某个周期内显示出某个数字。

下图为QuartusⅡ中总的模块设计:。

相关文档
最新文档