归纳模电实验方波发生器.doc

合集下载

方波、三角波发生器实验报告

方波、三角波发生器实验报告

数字电子技术基础综合实验报告实验名称:方波,三角波发生器
系别:水利电力学院
专业:电气工程及其自动化
学生、学号:杜文涛(1000302073)聂现强(1000302059)张龙华(0803205038)
日期:2012/7/8
1.实验内容
2.电路图(multisim仿真)
3.仿真结果(举例2倍频时的结果)
4.实验分工
杜文涛:资料的查找与电路图的设计,并进行仿真测试。

和队友共同完成电路在实验箱上的模拟以及在电路板上的焊接!
聂现强:和队友共同完成电路在实验箱上的模拟以及在电路板上的焊接!
张龙华:和队友共同完成电路在实验箱上的模拟以及在电路板上的焊接!
6.实验心得
经过长达一个星期的实验,我们深刻体会到了团队合作的重要性。

这次实验不仅让我们巩固了专业知识,也让我们了解一个个体如何在团队工作中发挥出自己最大力量,更增加了彼此间的默契!。

方波发生器的实习报告

方波发生器的实习报告

关于方波发生器的实习(实训)总结报告摘要本课程设计设计的是一种AT89C51单片机构成的方波发生器,在生产实践和科技领域中有着广泛的应用。

其核心技术为单片机并行端口的应用、单片机定时器为中断应用和数码管显示技术。

根据4个按键实现频率的调节(10~90Hz),幅度的调节(0-4v),通过数码管显示,前两位显示输出频率,后两位显示输出电压,具有线路简单、结构紧凑、性能优越等特点。

通过不断调试程序,合理编写中断服务程序来修正误差提高精确度,达到设计要求。

文章给出了源代码,通过仿真测试,其性能指标达到了设计要求。

关键词:单片机;方波发生器;数码管;DA转换1.功能描述实习题目:方波波形发生器方波波形发生器完成以下功能:①发生方波信号②频率可调③幅度可调4数码管显示5用示波器观察2方案设计2.1设计内容本课程设计是设计一个方波发生器,用4个按钮控制方波的频率以及幅度。

最后用数码管显示,示波器观察。

2.2设计原理AT89C51单片机具有组成微型计算机的各部分部件:CPU、RAM、I/O定时器/计数器以及串行通讯接口等。

只要将AT89C51的ROM,接口电路,再配置键盘及其接口,显示器及其接口,数模转换及波形输出,指示灯及其接口等四部分,即可构成所需波形发生器。

其信号发生器构成原理框图如图1所示。

在方波发生器中,只用到片内中断请求,即是在AT89C51输出一个波形采样点信号后,接着启动定时器,在定时器未产生中断之前,AT89C51等待,直到定时器计时结束,产生中断请求,AT89C51响应中断,接着输出下一个信号波形,如此循环。

当有按键按下时,产生外部中断请求信号,CPU暂停当前工作,处理中断请求,重新装入定时初值,开始定时。

3、硬件电路设计3.1单片机最小系统单片机各功能部件的运行都是以时钟频率为基准的,有条不紊地进行工作。

因而时钟频率直接影响单片机的速度,时钟电路的质量也直接影响单片机系统的稳定性。

常用的时钟电路方式有两种:一种是内部时钟方式,一种是外部时钟方式,这里采用的是内部时钟方式,外接晶振。

生产实习报告-方波发生器

生产实习报告-方波发生器

自动化专业生产实习报告姓名班级学号指导教师实习时间自 2010年 06月21日至 2010年 7月16日实习地点实验室实习内容一、实习目的1.学习、体验JH51多功能单片机实验板的焊接过程及技巧。

2.熟悉单片机指令的用法,学会用单片机产生四种频率方波的方法。

3.练习使用蜂鸣器、继电器的控制方式及驱动程序的方法。

二、动作要求JH51功能电路板,共有五个按键,其中四个按键分别用来控制四种频率方波的产生,复位键执行复位功能。

三、相关知识1、手工焊接要点①掌握好加热时间,烙铁形状不同,焊接时可以采用不同的加热速度;②印制板,塑料等材料受热过多会变形变质,元器件过度受热,性能变化甚至失效;③焊接时,使烙铁头保持在一定的温度范围;④焊接前先预热(上锡),同时保持烙铁头的清洁。

2.蜂鸣器、继电器蜂鸣器的发声原理是通过MCS-51产生不同频率的方波电压,再送到扬声器的线圈,使其上的纸膜一松一紧,从而发出声音。

对于不同声音的产生,则是通过控制不同频率电压所产生的。

继电器的通断原理是根据电磁感应现象,根据单片机指令产生不同频率方波的电压,输送到继电器线圈,使继电器的触头循环执行通与断,不同通断速度的产生是由于输送电压频率的不同。

四、实习步骤1. JH51多功能单片机实验板的焊接.欲焊接的电路板如下,将相应的元器件焊接对应的电路板上。

2.焊接好的基本电路板硬件结构如下:①51单片机核心51单片机核心②发光二极管单片机的P1 端口接了8 个发光二极管,这些发光二极管的负极接到P0端口各引脚,而正极则通过一个220欧姆的排阻接到正电源端,发光二极管亮的条件是P1 口相应的引脚为低电平,即如果P1口某引脚输出为0,相应的灯亮,如果输出为1,相应的灯灭。

③数码管单片机的 P1 口和P2 口的部份引脚构成了4 位LED 数码管驱动电路,这里LED 数码管采用了共阳型,共阳型数码管的笔段(即对应abcdefgh )引脚是二极管的负极,所有二极管的正极连在一起,构成公共端,即片选端,对于这种数码管的驱动,要求在片选端提供电流。

模电实验-方波三角波发生电路

模电实验-方波三角波发生电路

方波三角波发生电路一、实验要求:1、振荡频率范围:500HZ-1000HZ2、方波输出电压幅度:Vom=±8v3、三角波峰值调节范围:Vom1=2-4v4、集成运放采用uA7415、双向稳压管用2个D1N4735反接替代二、实验仿真与分析:1、确定参数:取R1=10k,Vom1=4v,则R2=Vom*R1/Vom1=20k,取电容C=1uF,暂时取R和R3为1k.2、设置瞬态分析,应特别注意时间的设置,由于周期为1ms~2ms,可设置终止时间为10ms.时间过大则波形过于密集,时间小则波形越偏离方波。

仿真分析知此时方波电压幅值为6V左右。

设置R3为全局变量,扫描分析使得方波幅值最大,确定R3=100,此时三角波幅值也满足要求:CPARAMETERS:v ar = 1k8.0V4.0V0V-4.0V-8.0V0s1ms2ms3ms4ms5ms6ms7ms8ms9ms10ms V(R2:2)V(R1:1)Time方波幅值为7.02V ,三角波幅值为3.7V ,取两个波谷值测取周期,T=3.7651-1.6182=2.1ms 并不符合要求,故要减小周期,即减小R仿真分析得当R=800时,仿真图像为周期为1.7ms,符合要求。

3、 设置瞬态分析,得到运放的电压传输特性分别为: 方波:三角波:Time0s1ms2ms 3ms 4ms 5ms 6ms 7ms 8ms 9ms 10msV(R2:2)V(R1:1)-8.0V-4.0V0V4.0V8.0VV(R1:1)-4.0V-3.0V -2.0V -1.0V 0.0V 1.0V 2.0V 3.0V 4.0VV(R2:2)-8.0V-4.0V0V4.0V8.0V4.0V2.0V0V-2.0V-4.0V-8.0V-6.0V-4.0V-2.0V0V 2.0V 4.0V 6.0V8.0V V(R1:1)V(R:1)三、实验体会:两个稳压管用来稳定输出方波,理论上是可以通过改变稳压值来调节方波幅值的,但是实验中却发现对方波幅值影响非常小,调不到8v,但是三角波却能够满足要求。

实验六 方波发生器

实验六 方波发生器

实验六方波发生器一、实训目的1、学习单片机内部定时器的硬件结构及其应用。

2、定时器T0、T1的两种用途之一:作为定时器实现定时控制。

3、掌握TMOD、TCON的各位的意义,学会可编程控制方法。

4、学会使用VSM虚拟示波器观测波形。

二、实训预备知识1、单片机内部定时器/计数器的编程主要是时间常数的设置和有关控制寄存器的设置。

内部定时器/计数器在单片机的主要有定时和计数两种功能,本实训使用的是定时功能。

2、与定时器有关的寄存器有工作方式寄存器TMOD和控制寄存器TCON。

TMOD用于设置定时器/计数器的工作方式0~3,并确定用于定时还是计数。

TCON主要功能是为了定时器在溢出时设定标志位,并控制定时器的运行或停止。

3、内部计数器用作定时器时,是对机器周期计数。

每个机器周期的长度是12个振荡周期。

4、在设置时间常数前要先关闭对应的中断,设置完时间常数之后再打开该中断。

三、实训设备:微机一台、实训箱、THKL-C51仿真器、示波器、扁平数据线和一条串行数据通信线。

四、实训内容(1)用内部定时器/计数器0的定时功能,实现周期为400us的方波输出。

图5-1 400us方波发生器原理图(2)用内部定时器/计数器1的定时功能,在P3.0引脚上产生周期为10ms的方波输出,定时器采用查询和中断两种方式实现。

图5-210ms方波发生器原理图(3)用内部定时器/计数器0的方式2,在P3.0引脚上产生矩形波, 高电平为50us,低电平为300us。

五、程序设计步骤1、PROTEUS电路设计图4-25 矩形波波形图方波发生器电路原理图如图5-1、5-2所示,设计在PROTEU ISIS平台中进行。

(1)新建设计文件菜单【file】/【New Design】,出现选择模板窗口,选中”DEFAULT”模板,再单击”OK”按钮,在文件名框中输入文件名,单击”保存”按钮,则保存新建设计文件,其后缀自动为.DSN。

(2)从PROTEUS库中选取元器件单击“P”按钮,在其左上角“Keywords”(关键字)一栏中输入以下元器件的关键字,将以下元器件添加到对象选择器中。

实验五 三角波-方波(锯齿波-矩形波)发生器实验报告

实验五  三角波-方波(锯齿波-矩形波)发生器实验报告

实验五三角波-方波(锯齿波-矩形波)发生器实验报告实验目的:学习、理解、掌握由运算放大器构成的施密特比较器、积分器的原理,掌握锯齿波-矩形波(三角波-方波)发生器的构成方式,波形参数与电路元件值的关系,通过对理论计算、仿真、测试的数据对比分析获得对电路原理及实践能力的提升。

实验设备及器件:笔记本电脑(软件环境:Multisim13.0、WaveForms2015)AD2口袋仪器电容:0.1μF电阻:200Ω、10kΩ*4、30kΩ*3二极管:发光二极管*2(红色或绿色)、普通二极管*2运放:μA741*2面包板、连接线等实验内容:用两片μA741构成的三角波-方波发生器(施密特触发器+积分电路)见图1。

图1 三角波-方波电路1.测试(使用红色发光二极管):(1)按图1搭建电路,使用AD2测试vo1和vo的波形(屏幕拷贝波形并贴于下方,图2),观察测试的波形,给出方波及三角波的高电平、低电平、方波的高电平持续时间、方波的低电平的持续时间、占空比、振荡周期,并填入表1。

图2 三角波-方波电路的测试波形(2)令图1中的R4=10 kΩ,其他器件参数不变,构成锯齿波-矩形波发生器,使用AD2测试vo1和vo2的波形(屏幕拷贝波形并贴于下方,图3),通过波形给出锯齿波及矩形波的高电平、低电平、矩形波的高电平持续时间、矩形波的低电平的持续时间、占空比、振荡周期,并填入表2。

图3 锯齿波-矩形波电路的测试波形2.计算(1)利用测试(1)所得的方波高电平和低电平值(输出vo1,也就是发光二极管在该工作条件下的正向压降,计算周期时可使用正负峰值的平均值计算),并根据电路器件参数,理论计算三角波输出端(vo)的高电平和低电平值、方波高电平持续时间、方波低电平的持续时间、占空比、振荡周期,并填入表1。

(计算时需要考虑D3、D4二极管正向压降的影响,鉴于选用二极管的特性及实验中流过D 3、D4二极管的电流只有100μA左右,取正向压降为0.5V)。

模电设计性实验之正弦波-方波-三角波

模电设计性实验之正弦波-方波-三角波

模拟电路提高性实验学院:科目:指导老师:学生:学号:班级:波形发生及转换器一、实验任务要求用面包板搭建一个波形发生及转换器,测试满足要求后,在电路板上焊接出来。

指标要求如下:1.±12V直流电源供电,输出3路波形:正弦波、方波和三角波.2.信号频率1kHz,3种波形幅度均为±4V.3.信号频率和幅度连续可调,尽量减小波形失真.二、方案论证产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波等等。

本实验采用先产生正弦波,再将正弦波转换为方波,最后将方波转换为三角波的电路设计方法首先,±12V直流电源供电给运放,产生正弦波,本实验使用文氏振荡电路作为第一级电路,通过调节50kΩ的电位器将部分输出电压叠加反馈到输入电路;第二级使用滞回比较器将正弦波转换为方波,同时通过10kΩ和20kΩ的电阻串联取出部分电压反馈到输入,但本级电路无法调节输出的方波幅度;第三级为反相求和运算电路,使得输入的方波幅度可调;第四级通过一个积分运算电路将方波转变为三角波,取第三级的输出为输入,并通过50kΩ的电位器调节三角波的幅度。

本实验中除了第一级的两个200kΩ的可调电位器用来调节幅度外,其余50kΩ的电位器均是用来调节幅度,使得正弦波、方波、三角波三种波形的幅度可调范围较大,而且本电路均引入反馈,尽量减小波形失真。

三、实验电路图及说明说明:第一级为RC桥式正弦波振荡电路,两个200kΩ的电位器接入电路的电阻相同,作用为调节正弦波的频率;50kΩ的电位器的作用是调节幅度。

第二级为滞回比较器(正弦波->方波),输出方波,但幅度不可调节。

第三级为反相求和运算电路,通过50kΩ的电位器调节方波的幅度。

第四级为积分运算电路,将输入的方波转变为三角波,同时也通过50kΩ的电位器调节三角波的幅度。

方波—三角波—正弦波函数发生器模电实验报告

方波—三角波—正弦波函数发生器模电实验报告

模电实验报告
一、实验任务:
设计一个方波-三角波-正弦波函数发生器
已知条件:双运放NE5532 一只(或uA741两只)
性能要求:频率范围:1-10Hz,10-100Hz;输出电压:方波Upp<=24V,三角波Upp=6V,正弦波Upp>1V。

二、电路设计过程及结果:
取,,。

平衡电阻。

由输出频率的表达式得:
当时,取,,。


时,取以实现频率波段的转换,其余不变。

取平衡电阻。

电路形式如下图,参数如下图所示
四、下面为仿真图形
五、实验数据
根据实验,实验波形与仿真波形相似,测得的方波Upp=2.16V,三角波Upp=5.6V,正弦波Upp=1.48V。

六、心得
本次实验的各种参数均可参考书中所给的例子计算得出。

从中也体现出了自己对相关理论只是并不是特别地熟悉,只能看着书根据公式计算,在这一点上还需要好好地去复习一下。

在实验过程中,接线时尤其需要仔细一点,通过几个人的合作,不断地检查完善多次后猜得出最终结果。

也体现出了团队合作的重要性。

在示波器调试方面,也暴露出了许多不足,对示波器的使用并不是特别地熟练。

对于所测出的数据有一定的偏差,及时这样也应该实事求是地记录下数据。

无论是理论计算还是实际操作,都需要我今后多加练习学习。

单片机方波发生器实验报告

单片机方波发生器实验报告

单片机方波发生器实验报告实验报告,单片机方波发生器。

实验目的:本实验旨在通过单片机实现方波发生器电路,了解方波发生器的工作原理,并掌握单片机的IO口控制。

实验器材:1. 单片机(如STC89C52)。

2. 电源。

3. 适配器。

4. 电阻、电容。

5. 示波器。

6. 连接线。

实验原理:方波发生器是一种能够产生方波信号的电路或设备。

在本实验中,我们将通过单片机的IO口控制来实现方波信号的产生。

单片机作为控制核心,通过对IO口的高低电平控制,可以实现方波信号的产生。

通过改变IO口的输出频率和占空比,可以产生不同频率和占空比的方波信号。

实验步骤:1. 连接电路,按照电路图连接单片机、电源、电阻、电容和示波器。

2. 编写程序,使用C语言或汇编语言编写单片机控制程序,配置IO口的输出模式和控制方波的频率和占空比。

3. 烧录程序,将编写好的程序通过编程器烧录到单片机中。

4. 实验验证,连接示波器,观察输出的方波信号的频率和占空比是否符合预期。

实验结果与分析:经过实验验证,我们成功实现了单片机方波发生器电路。

通过改变程序中的参数,我们可以得到不同频率和占空比的方波信号。

通过示波器观察,我们可以清晰地看到产生的方波信号波形,验证了实验的成功。

实验总结:通过本次实验,我们深入了解了方波发生器的原理和单片机的IO口控制。

掌握了单片机方波发生器的设计和实现方法,提高了我们对单片机应用的理解和实践能力。

同时,实验中也加深了我们对方波信号的理解,对信号发生器的应用有了更深入的认识。

以上就是本次单片机方波发生器实验的实验报告,希望能对你有所帮助。

模拟电子实验_正弦波,方波及三角波发生电路

模拟电子实验_正弦波,方波及三角波发生电路
模拟电子技术综合实验
实验四 波形发生器
实验目的
设计一个电路能够产生正弦波,方波 及三角波。
实验原理图
文氏桥正弦波 发生器 (1KHz)
比较器
积分器
正弦波
方波
三角波
波形发生器原理
实验原理图
正弦波产生电路
D1 D2 D5 D3 D4 R5 20K
R4 10K +10V R1 10K
2 6 3 Vo
4
-10V
7
R2 10K
C1 0.01uF
R3 10K
C2 0.01uF
实验原理图
方波产生电路
将运算放大器连接成比较器的形式,将文氏桥电 路产生的正弦波信号与零电平进行比较,比较器的输 出信号为方波,其频率与输入的正弦波的频率一致。
V CC 1 0 V R1 10 K u1 V+ V4
2 V s in 3
2 V s in
.
6 u a7 4 1
3
68 0pf V CC 1 0 V
V CC -1 0 V
2 3 V+ V4
7
u2 6 u a7 4 1 V tri
实验内容
( 1 )设计一个正弦波产生电路,要求其频率为 1KHz ,调整元件值使输出正弦波的峰峰值大于 1V,但要小于10V,记录其频率及峰峰值。 (2)设计一个方波产生电路,记录输出方波的频 率及峰峰值。
7
6 u a7 4 1
V sq
V CC -1 0 V
实验原理图
三角波产生电路 利用运算放大器构成积分电路,将输入的方波转 换为三角波,其频率与输入的方波的频率一致。
V CC 1 0 V R5 10 K u1 V+ V4 7

模电课程设计报告 正弦波 方波 三角波发生器

模电课程设计报告 正弦波 方波 三角波发生器

宁波大红鹰学院《模拟电子技术》课程设计报告课题名称:信号发生器分院:机械与电气工程学院教研室:电气工程及其自动化班级:姓名:学号:指导教师:严金龙李燕二○一三年十二月课题名称一、设计任务1.1设计要求1.利用集成运算放大器LM358设计一个简易信号发生器,要求能产生正弦波、方波和三角波三种波形。

2.采用双电源供电形式:电源12CC V V =+、12EE V V =-; 输出信号满足:(1)正弦波:V pp >=2V ;方波:V pp =13.5V ;三角波:V pp =8V ; (2)频率:110HZ ; (3)波形无明显失真。

1.2系统框图方波发生电路积分电路产生RC自激震荡产二、硬件设计2.1正弦波发生电路图1 正弦波RC串并联选频网络如下图(a)所示,它在正弦波振荡电路中既为选频网络,又为正反馈网络,所以其输入电压为,输出电压为。

当信号频率足够低时,,因而网络的简化电路及其电压和电流的向量如图(b)所示。

超前,当频率趋于零时,相位超前趋近于+900,且趋近于零。

当信号频率足够高时,,因而网络的简化电路及其电压和电流的向量如图(c)所示。

滞后,当频率趋近于无穷大时,相位滞后趋近于-900,且趋近于零。

当信号频率从零逐渐变化到无穷大时,的相位将从+900逐渐变化到-900。

因此,对于RC串并联选频网络,必定存在一个频率f0,当f=f0时,=同相。

通过计算可求出RC串并联选频网络的频率特性,如下图所示,其谐振频率。

为使f0=110hz,即使RC=1/220*3.14,确定了C的值就得到一个电阻的值。

R=1.447(1.45)KΩ,C=1uf。

RC桥式正弦波振荡电路:因为正弦波振荡器的起振条件是,从幅频特性曲线可得,当f=f0时,F=1/3,所以当A>3时,即RC串并联选频网络匹配一个电压放大倍数略大于3的正反馈放大器时,就可构成正弦波振荡器。

从理论上讲,任何满足放大倍数要求的放大电路与RC串并联选频网络都可组成正弦波振荡电路;但是,实际上,所选用的放大电路应具有尽可能大的输入电阻和尽可能小的输出电阻,以减小放大电路对选频特性的影响,使振荡频率几乎仅仅决定于选频网络。

方波发生器资料

方波发生器资料

方波发生器资料方波发生器是一种电子设备,用于产生方波信号。

方波信号是一种特殊的周期性信号,其波形为高电平和低电平交替出现的矩形波形。

方波信号广泛应用于电子实验、通信、音频设备等领域。

一、方波发生器的工作原理方波发生器通常由以下几个主要部分组成:振荡电路、比较器、反相器和输出缓冲器。

1. 振荡电路:方波发生器的核心部分,用于产生基准频率的振荡信号。

常见的振荡电路有RC振荡器、LC振荡器和晶体振荡器等。

2. 比较器:将振荡电路产生的振荡信号与一个参考电平进行比较。

当振荡信号的电压高于参考电平时,输出高电平;当振荡信号的电压低于参考电平时,输出低电平。

3. 反相器:用于将比较器输出的信号进行反相处理。

当比较器输出高电平时,反相器输出低电平;当比较器输出低电平时,反相器输出高电平。

4. 输出缓冲器:用于放大和驱动方波信号,使其能够输出到外部设备或电路中。

二、方波发生器的应用方波发生器在电子实验和工程中具有广泛的应用。

以下是一些常见的应用领域:1. 信号发生器:方波发生器可以作为一个简单的信号发生器,用于产生特定频率和幅度的方波信号,用于测试和调试电子设备。

2. 时钟电路:方波发生器可以用作时钟电路的基准信号源。

时钟电路在数字系统和通信系统中起着关键的作用,用于同步和控制各个模块的运行。

3. 脉冲调制:方波发生器可以用于脉冲调制技术中。

脉冲调制是一种将模拟信号转换为数字信号的技术,常用于通信系统和数字音频设备中。

4. 实验教学:方波发生器常用于电子实验教学中,用于演示和研究方波信号的特性和应用。

三、方波发生器的性能指标选择方波发生器时,需要考虑以下几个性能指标:1. 频率范围:方波发生器应具有较宽的频率范围,以满足不同应用的需求。

2. 频率稳定性:方波发生器应具有良好的频率稳定性,以保证输出信号的准确性和稳定性。

3. 输出幅度:方波发生器应具有可调的输出幅度,以适应不同电路和设备的需求。

4. 上升时间和下降时间:方波发生器应具有较短的上升时间和下降时间,以保证方波信号的快速切换和较高的频率响应。

方波发生器

方波发生器

方波发生器Final revision by standardization team on December 10, 2020.集成运算放大器应用电路综合设计网上大作业方波发生器电路:波形:电路设计:因为矩形波电压只有两种状态,不是高电平,就是低电平,所以电压比较器是它的重要组成部分;因为产生振荡,就是要求输出的两种状态自动地相互转换,所以电路中必须引入反馈;因为输出状态应按一定的时间间隔交替变化,即产生周期性变化,所以电路中要有延迟环节来切丁每种状态维持的时间。

图示为矩形波发生电路,它山反相输入的滞回比较器和RC电路组成。

RC回路既作为延迟环节,乂作为反馈网络,通过RC充放电实现输出状态的自动转换。

方波发生电路图,如图所示,它山反相输入的滞回比较器和RC积分电路组成。

其中RC回路既作为延迟环节,乂作为反馈网络,通过RC充、放电实现输出状态的自动转换,而输出端引入的限流电阻R o和两个背幕背的双向稳压管起到了双向限幅的作用。

基波低通滤波器电路:波形:电路设计:一个低通滤波器加一个同相比例放大器。

出现的问题及解决:1.出现问题:到后面和移相的三次谐波叠加时发现波形不正确。

发现错误:后面的滤波器对三次谐波多次放大使最后的波形不正确。

解决问题:加一个同相比例放大器,确保波形的正确。

2.出现错误:发现滤波后,波形失真。

发现错误:是角频率和频率弄混了,导致截止频率算错。

解决问题:纠正后,重新计算。

三次谐波带通滤波器电路:波形:电路设计:一个二阶有源高通滤波器,一个二阶有源低通滤波器和一个带通滤波器级联。

优化过程:........ R16 - —W—….IkQ...—::::no::: ........ R18 •-------- V A一::::no::: • •• •• ••• •• —W—::HQ:::VDD ............…..I .5.0V …-1Z0V•• • 3288RT ...... ............................................. I J • ••••••••••••••• 4 »••••• • •• • q • • • •............... .. •• •• • • •第一次只用了一个带通滤波器滤波,做仿真时,发现波形失真,后来发现是一个带通滤波器的带外衰减不够,所以乂级联一个二阶有源高通滤波器和一个二阶有源低通滤波器,并且将Q调至1。

方波发生电路实验报告

方波发生电路实验报告

方波发生电路实验报告电路实验报告:方波发生电路一、实验目的:1. 掌握方波发生电路的工作原理;2. 通过实验测量方波频率、占空比等参数。

二、实验仪器与材料:1. 函数发生器;2. 示波器;3. 电阻、电容、二极管等元件;4. 电路连接线。

三、实验原理:方波发生电路是一种基于RC电路和非线性元件(如二极管)的电路,用于产生频率固定、占空比可调的方波信号。

基本原理如下:RC电路的充放电过程时间常数τ=RC,具有指数增长和衰减的特性。

当RC电路接通电源时,电容开始充电,指数增长至某一阈值,电路将反转电流方向,电容开始放电,指数衰减至某一阈值,随后电路再次反转电流方向,周而复始。

将二极管接在RC电路的输出端,二极管在充电过程中导通,放电过程中截止,将RC电路的连续曲线削平,得到方波波形。

四、实验步骤:1. 按照电路图将电路连接好,保证电源和电路接线正确可靠;2. 将示波器的探头分别连接在RC电路的输入端和二极管接地侧,调整示波器的扫频范围;3. 调整函数发生器的频率和占空比,观察示波器上的方波信号波形;4. 测量并记录函数发生器的频率和占空比。

五、实验结果与分析:1. 在不同频率和占空比设置下,观察到了相应的方波输出;2. 测量得到的频率和占空比数据如下:- 频率:100Hz- 占空比:50%六、结果讨论:1. 频率与电阻、电容值有关,可通过改变电阻和电容值调整频率;2. 占空比与二极管导通时间和截止时间有关,可通过改变电容和二极管特性调整占空比;3. 实验结果与理论值有一定偏差,可能是由于电路元件的实际参数与理论值不完全一致,以及示波器的测量误差等原因。

七、实验总结:通过本次实验,我们掌握了方波发生电路的工作原理,了解了RC电路和非线性元件的作用,能够使用函数发生器和示波器进行方波信号的测量和观察,并对频率和占空比进行调整。

在实际操作中,需要注意电路连接的可靠性和准确性,同时还需要根据实际情况选择合适的电阻、电容和二极管等元件。

方波发生器实验报告

方波发生器实验报告

方波发生器及其调制一、实验内容设计一方波信号发生器,采用ROM进行一个周期数据存储,并通过地址发生器产生方波信号。

并通过控制端输入a对方波信号进行调幅和调频。

ROM(4位地址16位数据)二、实验原理方波信号发生器是由地址发生器和方波数据存储器ROM两块构成,输入为时钟脉冲,输出为8位二进制。

1地址发生器的原理地址发生器实质上就是计数器,ROM的地址是4位数据,相当于16位循环计数器。

2.只读存储器ROM的设计(1)、VHDL编程的实现①基本原理:为每一个存储单元编写一个地址,只有地址指定的存储单元才能与公共的I/O 相连,然后进行存储数据的读写操作。

②逻辑功能:地址信号的选择下,从指定存储单元中读取相应数据。

3.调幅与调频通过输入信号a(3位数据),选择不同调制,如a=000,2分频a=001,4分频a=010,8分频a=011,16分频a=100,2倍调幅a=101,4倍调幅a=110,8倍调幅a=111,16倍调幅分频原理:偶数分频,即分频系数N=2n(n=1,2,…),若输入的信号频率为f,那么分频器的输出信号的频率为f/2n(n=1,2,…)。

调幅原理:通过移位寄存器改变方波幅值(左移)。

三、 设计方案1. 基于VHDL 编程的设计在地址信号的选择下,从指定存储单元中读取相应数据 ,系统框图如下:FPGA四、 原理图1、VHDL 编程的实现(1)、顶层原理图(2)、地址发生器的VHDL 语言的实现library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;地址发生器方波数据存储ROM 分频和调幅entity addr_count isport(clk1khz: in std_logic;qout: out integer range 0 to 15);end addr_count;architecture behave of addr_count issignal temp: integer range 0 to 15;beginprocess(clk1khz)beginif(clk1khz'event and clk1khz='1') thenif(temp=15) thentemp<=0;elsetemp<=temp+1;end if;end if;qout<=temp;end process;end behave;(3)ROM的VHDL语言的实现library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity rom isport(addr:in std_logic_vector(3 downto 0);en:in std_logic;qout:out std_logic_vector(7 downto 0));end rom;architecture behave of rom istype memory is array(0 to 15) of std_logic_vector(7 downto 0);constantrom:memory:=("00000000","00000000","00000000","00000000","00000000","00000000","00000000", "00000000","00000010","00000010","00000010","00000010","00000010","00000010","00000010","00000010"); beginprocess(en,addr)variable temp:integer range 0 to 15;beginif(en='1')thentemp:=conv_integer(addr);qout<=rom(temp);elseqout<=(others=>'Z');end if;end process;end behave;(4)调幅与调频程序entity tiaozhi isport(data:in std_logic_vector(7 downto 0); a:in std_logic_vector(2 downto 0);clk:in std_logic;sl_in :in std_logic;clk1:out std_logic;qout:out std_logic_vector(7 downto 0) );end tiaozhi;architecture behave of tiaozhi issignal count:std_logic_vector(15 downto 0); signal q1:std_logic_vector(7 downto 0); signal q2:std_logic_vector(7 downto 0); signal q3:std_logic_vector(7 downto 0); signal q4:std_logic_vector(7 downto 0); beginprocess(clk,count,a,q1,q2,q3,q4)beginif(clk'event and clk='1') thencount<=count+1;q1<=data(6 downto 0)& sl_in;q2<=q1(6 downto 0) & sl_in;q3<=q2(6 downto 0) & sl_in;q4<=q3(6 downto 0) & sl_in;end if;end process;process (a)begincase a iswhen "000"=> clk1<=count(1);when "001"=> clk1<=count(2);when "010"=> clk1<=count(3);when "011"=> clk1<=count(4);when "100"=> qout<=q1;when "101"=> qout<=q2;when "110"=> qout<=q3;when "111"=> qout<=q4;end case;end process;end behave;(5)仿真结果如下产生的方波信号当控制端输入为100时,2倍调幅当控制端输入为111时,16倍调幅当控制端输入为001时,4分频当控制端输入为011时,16分频五设计总结1. 评估在这次EDA实验设计中,我们完成的是一个方波信号发生器的设计,在这次设计中,让我们了解到了ROM,地址发生器,分频和调幅的设计方法,进一步掌握了Quartus‖的常见使用方法,并掌握了方波信号发生器的设计原理,对我们来说,此次实验的收获在于数据存储器ROM的设计,我们相信,这对于以后的实验将会有很大的帮助。

模电实验方波发生器

模电实验方波发生器

方波发生器一、实验目的(1)学习运算放大器在对信号处理、变换和产生等方面的应用,为综合应用奠定基础。

(2)学习用集成运算放大器组成波形发生器的工作原理。

二、实验原理实际应用中通过电压比较可以产生方波,如图。

负向输入端的电容充、放电时,其变化的电压与经过f R 反馈回来的电压进行比较,就得到了方波。

二极管1D ,2D 与电阻p R ,3R 组成的电路用来控制电容的充、放电时间,从而控制方波的占空比。

稳压二极管z V 的作用是限制和确定方波的幅度,因此要根据设计所要求的方波幅度来选择稳压二极管的稳定电压z V 。

此外,方波幅度和宽度的对称性也与稳压二极管的对称性有关。

为了得到对称的方波输出,通常应选用高精度的双向稳压二极管。

2R 为稳压二极管的限流电阻,其阻值由所选的稳压二极管的稳定电流来决定。

设接通电源后输出电压z V v +=0,二极管1D 导通,2D 截止,0v 经p R 向C 充电,充电时间常数为C R p 。

当电容两端电压c V 略大于同相输入端电压f V 时,输出电压0v 跳变为z V -,二极管1D 截止,2D 导通,电容经3R 向输出端放电,放电时间常数为C R 3。

当c V 略小于f V 时,输出电压0v 又跳变为z V +。

如此周而复始进行,随着电容的充电放电,输出电压0v 不断翻转,形成矩形波。

输出脉冲高电平z V v +=0的时间为 )21ln(11f p R R C R T +=输出低电平z V v -=0的时间为 )21ln(132fR R C R T += 振荡频率为 ()⎪⎪⎭⎫ ⎝⎛++=+==f p R R C R R T T T f 132121ln 111占空比为 31R R R T T D p p +== 可见,调节电位器p R ,改变电阻3R 的大小,即可调节输出脉冲的宽度。

但由于受运算放大器上升速率的限制,不能得到太窄的矩形波。

三、实验内容及步骤(1)仿真分析:在Multisim 13电路窗口创建如图电路。

方波发生器及其调制实验报告

方波发生器及其调制实验报告

方波发生器及其调制实验报告
正弦波发生器是电路中经常使用的元件,它可以用来实现幅度和频率可调的正弦波发生器,具有很多应用。

方波发生器是一种简单的电路,其原理是采用RC电路组成,它可以提供一个离散的模拟电压,主要由正弦波发生器,谐振电路,幅度控制电路和数字信号控制电路组成,能够提供宽度、幅度和频率可调的正弦信号供电子和通信等工程使用。

本实验制作一个具有宽度、幅度调节、频率调节和调制特性的方波发生器,它由正弦波发生器、调制电路和LC谐振电路组成。

实验中先拼接正弦波发生的电路板,首先,按图纸中的PCB布线图连接各个组件,电阻和电容使用符合图中要求的精确值连接组装;然后将拼好的电路板和数字调节器连接组装调节器完成,可以调节频率和幅度。

其次,调制电路使用晶体管两个放大器,在端口P1和P2输出正弦波,作为模拟输入到电路;最后,将电路连接在一个LC谐振元件上以调节频率,用一个调节器调节成振幅,再将输出信号连接到电路板上,完成整个方波发生器的组装。

实验结果表明,通过调节正弦波发生器的幅度、频率和调制参数,可以获得单色多谱线的正弦波,当频率越高和对应的振幅越高时,线形失真更小。

在将作为输入的背景正弦波进行调制后,输出信号也呈现出多谱线的线形,尤其是调制的正弦波振幅越高,调制系数越大时,线形会更加清晰。

通过本次实验,可以使我更好地了解方波发生器的结构及其工作原理,对调节器结构有了较系统的认识,更加深入地了解了调节技术的用途,积累了电路组装、测量、数据分析的经验。

集成运放构成的三角波方波发生器实验

集成运放构成的三角波方波发生器实验

2.模拟电子技术实验实验2.3 集成运放构成的三角波方波发生器实验目的实验思路实验原理实验步骤实验报告要求一、实验目的1.理解三角波方波发生器的设计思路,搭接出最简单的电路,获得固定频率、幅度的三角波、方波输出。

2.理解独立可调的设计思路,搭接出频率、占空比、三角波幅度、三角波直流偏移、方波幅度、方波直流偏移均独立可调的电路,调整范围不限。

3.理解分块调试的方法,进一步增强故障排查能力。

2.模拟电子技术实验实验2.3 集成运放构成的三角波方波发生器实验目的实验思路实验原理实验步骤实验报告要求二、实验思路利用集成运放构成的比较器和电容的充放电,可以实现集成运放的周期性翻转,进而在输出端产生一个方波。

这个电路如图2.3.1所示,它的工作原理请参阅相关教科书。

注意在这个电路中,给电容的充电是恒压充电,随着电容电压的升高,其充电电流越来越小,电容电压上升也越来越缓慢。

理论分析可知,电容上电压的变化,是一个负指数曲线。

因此,这个电路只能实现方波发生。

但是,我们注意到,这个负指数曲线在工作过程中是不停地正向充电、反向放电,已经和三角波有些类似。

如果能够使得电容上充电电流固定,则其电压的上升或者下降将是线性的,就可以在电容端获得一个三角波。

我们可以立即联想到这样一个事实:当积分器的输入是固定电压,则其输出是线性上升或者下降的。

因此,将图2.3.1中的RC充电电路去掉,用一个积分器替代,并考虑到极性,再增加一级反相电路,就可以实现三角波的产生,如图2.3.2所示。

图2.3.2电路使用了3个集成运放。

电路设计者认为,A并不是必须的,因为它仅仅完成了1倍的反相放大,3的输入端极性进行巧妙设计来实现。

为了节省1个运放,设计者给出了新的电路,如图这个功能完全可以利用A12.3.3所示,它仅使用2个运放。

图2.3.3所示电路的工作原理,请参阅相关教科书。

图中稳压管D Z和电阻R3组成稳压电路,目的是克服运放输出的不对称。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

方波发生器
一、实验目的
(1)学习运算放大器在对信号处理、变换和产生等方面的应用,为综合应用奠定基础。

(2)学习用集成运算放大器组成波形发生器的工作原理。

二、实验原理
实际应用中通过电压比较可以产生方波,如图。

负向输入端的电容充、放电时,其变化的电压与经过f R 反馈回来的电压进行比较,就得到了方波。

二极管1D ,2D 与电阻p R ,3R 组成的电路用来控制电容的充、放电时间,从而控制方波的占空比。

稳压二极管z V 的作用是限制和确定方波的幅度,因此要根据设计所要求的方波幅度来选择稳压二极管的稳定电压z V 。

此外,方波幅度和宽度的对称性也与稳压二极管的对称性有关。

为了得到对称的方波输出,通常应选用高精度的双向稳压二极管。

2R 为稳压二极管的限流电阻,其阻值由所选的稳压二极管的稳定电流来决定。

设接通电源后输出电压z V v +=0,二极管1D 导通,2D 截止,0v 经p R 向C 充电,充电时间常数为C R p 。

当电容两端电压c V 略大于同相输入端电压f V 时,输出电压0v 跳变为z V -,二极管1D 截止,2D 导通,电容经3R 向输出端放电,放电时间常数为C R 3。

当c V 略小于f V 时,输出电压0v 又跳变为z V +。

如此周而复始进行,随着电容的充电放电,输出电压0v 不断翻转,形成矩形波。

输出脉冲高电平z V v +=0的时间为 )21ln(11f
p R R C R T += 输出低电平z V v -=0的时间为 )21ln(132f R R C R T +
= 振荡频率为 ()⎪⎪⎭⎫ ⎝⎛++=+==f p R R C R R T T T f 132121ln 111
占空比为 3
1R R R T T D p p +== 可见,调节电位器p R ,改变电阻3R 的大小,即可调节输出脉冲的宽度。

但由于受运算放大器上升速率的限制,不能得到太窄的矩形波。

三、实验内容及步骤
(1)仿真分析:
在Multisim 13电路窗口创建如图电路。

单击仿真开关,进行仿真分析,此时示波器XSC1通道A 显示的曲线表示电容充、放电时负向输入端的波形情况;通道2显示的波形为方波。

(2)实验室操作:
1.按图连接好电路,检查无误后,接通V 12±直流电源。

2.用示波器观察0v ,c v 处的波形,记录波形并比较它们之间的相位关系。

3.用示波器测量0v ,c v 处波形的幅值和频率。

4.调节可变电阻p R ,用示波器观察输出电压0v 的变化情况。

5.当21T T =,(即占空比%50=D )时,测量电阻f R 的大小,将理论值和实测值进行比较。

四、实验器材
(1)双路直流稳压电源一台。

(2)示波器一台。

(3)万用表一块。

(4)集成运算放大器(741A μ)一片。

(5)二极管两个,稳压二极管两个。

(6)电容一个。

(7)电阻五个。

五、实验数据及结果分析
方波发生器仿真图如下:
【实测效果】
V o=1.597V Vc=4.780V f=77.08Hz。

相关文档
最新文档