[数字系统设计与Verilog HDL(第7版 (10)[58页]

合集下载

数字设计中的Verilog HDL语言基础

数字设计中的Verilog HDL语言基础

数字设计中的Verilog HDL语言基础Verilog HDL语言是数字设计中非常重要的一门编程语言,它被广泛应用于集成电路设计和硬件描述语言。

在数字设计中,Verilog HDL语言被用来描述硬件的行为和结构,使得工程师能够通过编写代码来设计和实现各种数字电路。

Verilog HDL语言具有如下几个基础要素:1. 模块(Module):Verilog HDL语言中的最基本构建单元就是模块,一个模块可以包含端口、输入、输出、内部信号和行为描述等部分。

模块用来描述数字电路中的一个功能模块或组件,它是实现数字电路的基础。

2. 信号(Signal):信号是Verilog HDL语言中用来传递信息的基本元素,它可以是输入信号、输出信号或者中间信号。

信号可以是数字类型、整数类型、浮点数类型等,通过信号的传输和逻辑操作来描述整个数字电路的行为。

3. 端口(Port):端口是模块与外部环境进行通信的接口,它可以是输入端口、输出端口或者双向端口。

端口定义了模块和其他模块或顶层模块之间的信号传输规则,是数字电路的输入输出通道。

4. 运算符(Operator):Verilog HDL语言中包含了各种运算符,用来对信号进行逻辑运算、算术运算和比较运算等操作。

常见的运算符包括与(&)、或(|)、非(!)、加(+)、减(-)、乘(*)、除(/)、取余(%)等,通过运算符的使用能够实现数字电路中的各种功能。

5. 时钟(Clock):时钟信号在数字设计中起到非常重要的作用,它用来同步数字电路中的各个组件,确保它们按照时序进行正确的操作。

时钟信号通常是周期性的信号,在数字电路中广泛用于触发、同步和控制各个模块的工作。

通过学习Verilog HDL语言的基础知识,可以帮助我们更好地理解数字设计中的原理和技术,进而能够设计和实现各种复杂的数字电路。

掌握Verilog HDL语言的基础概念和语法规则,是每一位数字设计工程师必备的技能之一。

数字系统设计与Verilog HDL

数字系统设计与Verilog HDL

数字系统设计与Verilog HDL(复习)EDA(Electronic Design Automation)就是以计算机为工作平台,以EDA软件工具为开发环境,以PLD器件或者ASIC专用集成电路为目标器件设计实现电路系统的一种技术。

1.电子CAD(Computer Aided Design)2.电子CAE(Computer Aided Engineering)3.EDA(Electronic Design Automation)EDA技术及其发展p2EDA技术的应用范畴1.3 数字系统设计的流程基于FPGA/CPLD的数字系统设计流程1. 原理图输入(Schematic diagrams )2、硬件描述语言 (HDL文本输入)设计输入硬件描述语言与软件编程语言有本质的区别综合(Synthesis)将较高层次的设计描述自动转化为较低层次描述的过程◆行为综合:从算法表示、行为描述转换到寄存器传输级(RTL)◆逻辑综合:RTL级描述转换到逻辑门级(包括触发器)◆版图综合或结构综合:从逻辑门表示转换到版图表示,或转换到PLD器件的配置网表表示综合器是能自动实现上述转换的软件工具,是能将原理图或HDL语言描述的电路功能转化为具体电路网表的工具适配适配器也称为结构综合器,它的功能是将由综合器产生的网表文件配置于指定的目标器件中,并产生最终的可下载文件对CPLD器件而言,产生熔丝图文件,即JEDEC文件;对FPGA器件则产生Bitstream 位流数据文件p8仿真(Simulation)功能仿真(Function Simulation)时序仿真(Timing Simulation)仿真是对所设计电路的功能的验证p9编程(Program)把适配后生成的编程文件装入到PLD器件中的过程,或称为下载。

通常将对基于EEPROM工艺的非易失结构PLD器件的下载称为编程(Program),将基于SRAM 工艺结构的PLD器件的下载称为配置(Configure)。

VerilogHDL数字系统设计技巧精品PPT课件

VerilogHDL数字系统设计技巧精品PPT课件
module clk ( o_clk, i_clk, rst_n );
output o_clk; input i_clk; input rst_n; parameter N = N_even; // 设置偶数倍分频 parameter M = ?; // M="N/2-1" // bit_of_N: N_even的二进制位宽 reg [(bit_of_N - 1):0] cnt; // 计数器单元 reg o_clk;
cnt <= cnt + 1'b1; end end
恰恰分频:任意整数和小数分频的Verilog实现
// 生成上升沿时钟 // 0~(N/2-1) ↑ -> 1; (N/2)~(N-1) ↑ -> 0 always @ (posedge i_clk, negedge rst_n) begin
if (!rst_n) o_clk <= 0;
偶数分频器的实现非常简单,通过计数器计数 就完全可以实现。 如进行N倍偶数分频,就可以通过由待分频的 时钟触发计数器计数。 当计数器从0计数到N/2-1时,输出时钟进行翻 转,并给计数器一个复位信号,以使下一个时 钟从零开始计数。 以此循环,就可以实现任意的偶数分频。
恰恰分频:任意整数和小数分频的Verilog实现
恰恰分频:任意整数和小数分频的Verilog实现
always@(negedge clk or negedge rst_n) begin if(!rst_n) begin cnt2<=0; end else if(cnt2==2'b10) begin cnt2<=0; end else begin cnt2<=cnt2+1; end end

Verilog HDL 数字系统设计及实践 第10章 Verilog设计与综合中的陷阱

Verilog HDL 数字系统设计及实践  第10章 Verilog设计与综合中的陷阱

10.3 锁存器(Latch)的产生与危害
else if (i_addr == 1'b1) begin o_mux_out = i_reg_b; end end end endmodule
图10.10 代码example_10_6电路综合
10.4 组合逻辑反馈
组合逻辑反馈环路是数字同步逻辑设计的大忌,它最容易因振荡、毛刺、时序违规等问 题引起整个系统的不稳定和不可靠。 组合逻辑反馈环路是二种高风险的设计方式,主要原因如下: 1.组合反馈环的逻辑功能完全依赖于其反馈环路上组合逻辑的门延时和布 线延时等,如果 这些传播延时有任何改变,则该组合反馈环单元的整体逻辑功能将彻底改变,而且改变后 的逻辑功能很难确定。 2.组合反馈环的时序分析是无穷循环的时序计算,综合、实现等EDA 工具迫不得已一般必 须主动割断其时序路径,以完成相关的时序计算。而不同的EDA工具对组合反馈环的处理 方法各不相同,所以组合反馈环的最终实现结果有很多不确定因素。 3.通常的综合工具(synplify_pro)在处理组合逻辑反馈问题时,将产生Latch,这将对时 序造成许多问题。 【例10.8】 这是一个组合逻辑反馈的例子,o_mux根据输入条件i_sel进行选择,当 i_sel = 0, 选择i_a作为输出,当i_sel = 1,选择i_b作为输出,当i_sel为其他值时候, 设计试图通过组合逻辑反馈使输出能够保留原来的值,这个时候问题就出现了,由 于使用了组合逻辑的反馈,综合工具会将把o_mux当作锁存器(Latch)输出,而不 会产生反馈逻辑。
10.1.2非阻塞语句 非阻塞语句用操作符号(”<=”)进行连接,起基本语法格式如下: 寄存器变量(reg)<= 表达式/变量
10.1 阻塞语句与非阻塞语句

数字系统设计与Verilog HDL实验报告(二)

数字系统设计与Verilog HDL实验报告(二)

《数字系统设计与Verilog HDL》实验报告(二)班级:自动1003班姓名:**学号:********实验二、四位并串转换电路一、实验目的1、了解及掌握时序电路的基本结构常用数字电路;2、通过ModelSim软件编写时序电路的程序进行仿真和调试。

二、实验内容1、熟悉时序电路中时钟的同步与异步用法;2、编写一个四位并串转换设计程序以及测试该模块的测试程序,要求如下:(1)输入一个四位二进制数pin;(2)每个时钟周期按从左往右的顺序输出一位pin的二进制位的数。

三、实验步骤及源程序新建工程及文件,分别添加设计程序及测试程序,进行编译及纠错,编译通过后运行程序仿真进行调试得出结果。

设计模块:module para_to_serial4(pin,clk,reset,sout);input [3:0] pin;input clk,reset;output sout;reg sout;reg [3:0] data;always @(posedge clk or negedge reset)beginif(~reset)beginsout<=1'b0;data<=pin;endelsebegindata<={data[2:0],data[3]};sout<=data[3];endendendmodule测试模块:`timescale 1ns/1nsmodule test_para_to_ser;wire sout;reg [3:0] pin;reg clk,reset;para_to_serial4 test1(pin,clk,reset,sout);initialbeginclk=1'b0;reset=1'b0;#5 reset=1'b1;#300 $stop;endinitialpin=4'b1001;always#5 clk=~clk;endmodule四、实验结果五、实验心得体会这次试验相对于上次有了一定的难度,由于这门课程刚开始学习,我还不能很好地从宏观把握这门课程,对这门课程的认识和理解还不够深刻,所以做实验时遇到了一些困难,虽然找了一些参考资料,有一定的帮助,但最后还是有点纠结,最终在和同学的交流中才比较深刻的对实验有了了解。

数字系统设计与VerilogHDL课程设计

数字系统设计与VerilogHDL课程设计

数字系统设计与VerilogHDL课程设计一、课程设计背景数字系统设计和VerilogHDL是计算机科学与技术专业中比较重要的课程之一。

在该课程中,学生将学习到数字系统的设计和实现过程,以及VerilogHDL语言的应用和编写。

本课程设计旨在让学生通过实践,巩固并深化所学知识,提高其实际运用能力,并帮助学生更好地理解数字系统设计和VerilogHDL的重要性。

二、课程设计目标与要求1. 课程设计目标本课程设计主要目标是让学生了解数字系统设计和VerilogHDL的工作原理和实现方法,并通过实践进行巩固,提高学生的动手能力,为日后从事该领域的工作做好充分的准备。

2. 课程设计要求本课程设计要求学生能够在充分理解了数字系统设计和VerilogHDL的基本原理和方法后,进行硬件电路图、程序代码的设计和仿真。

同时,学生需要自主完成以下任务:1.学习数字系统设计和VerilogHDL的基本知识。

2.设计并仿真一个简单的多功能数字系统,包括至少一个输入和一个输出设备,以及多个存储器(SRAM、DRAM、ROM等都可以)。

3.使用VerilogHDL语言设计实现一个几位数的计算器。

4.完成个人或小组课程设计报告,包括设计过程中的心得、体会等内容。

三、课程设计流程1. 学习数字系统设计和VerilogHDL的基本知识数字系统设计和VerilogHDL的基本知识包括数字系统的基本概念、数字信号处理、电路设计和VerilogHDL的基本语法和程序设计方法等。

学生可以通过阅读相关教材和参考资料来学习这些知识。

2. 设计多功能数字系统在完成学习后,学生可以开始设计自己的多功能数字系统。

该系统应该包括输入、输出、存储器等组件,并应该考虑到系统的稳定性、处理速度、数据存储和传输等方面的问题。

设计的过程中还需注意电路连接、时序控制、设计优化等方面,确保数字系统的高效功能和性能。

3. 设计计算器在完成多功能数字系统的设计后,学生可以进一步使用VerilogHDL语言,编写程序设计一个几位数的计算器。

verilog数字系统设计教程习题答案

verilog数字系统设计教程习题答案

verilog数字系统设计教程习题答案verilog数字系统设计教程习题答案第二章1.Verilog HDL 既是一种行为描述语言,也是一种结构描述语言。

如果按照一定的规则和风格编写代码,就可以将功能行为模块通过工具自动转化为门级互联的结构模块。

这意味着利用Verilog语言所提供的功能,就可以构造一个模块间的清晰结构来描述复杂的大型设计,并对所需的逻辑电路进行严格的设计。

2.模块的基本结构由关键词module和endmodule构成。

3.一个复杂电路系统的完整Verilog HDL模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。

其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。

利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。

4.Verilog HDL和VHDL作为描述硬件电路设计的语言,其共同的特点在于:能形式化地抽象表示电路的结构和行为、支持逻辑设计中层次与领域的描述、可借用高级语言的精巧结构来简化电路的描述、具有电路仿真与验证机制以保证设计的正确性、支持电路描述由高层到低层的综合转换、硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去)、便于文档管理、易于理解和设计重用。

5.不是6.将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

7.综合工具可以把HDL变成门级网表。

这方面Synopsys工具占有较大的优势,它的Design Compile是作为一个综合的工业标准,它还有另外一个产品叫Behavior Compiler,可以提供更高级的综合。

另外最近美国又出了一个软件叫Ambit,据说比Synopsys的软件更有效,可以综合50万门的电路,速度更快。

今年初Ambit被Cadence公司收购,为此Cadence 放弃了它原来的综合软件Synergy。

精品课件-Verilog HDL数字系统设计-Verilog HDL数字系统设计-第10章

精品课件-Verilog HDL数字系统设计-Verilog HDL数字系统设计-第10章

第10章 综合应用实例
18
该模块的输入和输出信号说明如下: 输入信号: clk——1 Hz时钟信号; reset_n——复位信号,低电平有效; prim_flag——主、次干道标志,1为主干道,0为次干道; prim_red_time——主干道红灯时间(秒); prim_green_time——主干道绿灯时间(秒); prim_yellow_time——主干道黄灯时间(秒); emergency——紧急状态控制信号; test——信号灯测试控制信号。
输入信号: hex——2位8421BCD码输入。
输出信号:
dispout——2位8421BCD码对应的七段数码管段码。
第10章 综合应用实例
35
【代码10.6】 BCD码显示模块。
第10章 综合应用实例
第10章 综合应用实例
22
图10.6 双向路口控制模块的仿真波形
第10章 综合应用实例
23
10.2 多功能数字钟
数字钟是一个最常用的数字系统,其主要功能是计时和显 示时间。这里通过一个数字钟表的模块化设计方法,说明自顶 向下的模块化设计方法和实现一个项目的设计步骤。这里实现 的电子表具有显示和调时的基本功能,可以显示时、分、秒和 毫秒,并通过按键进行工作模式选择,工作模式有4种,分别是 正常计时模式、调时模式、调分模式、调秒 模式。
第10章 综合应用实例
6
输出信号: wait_time——当前状态的倒计时时间输出;
ryg_light[2:0]——红、黄、绿信号灯状态输出。
第10章 综合应用实例
7
【代码10.1】 单个路口交通灯控制模块。
第10章 综合应用实例
8
主干道和次干道的初始化状态截图如图10.1和图10.2所示, 图10.1中prim_flog=1,表示该路口是主干道。因此,在复位信 号无效后,红、黄、绿灯的输出信号ryg_light立即为3'b001, 即绿灯亮,由于green_time=6,所以绿灯持续时间应为6秒钟, 在wait_time输出分别为6、5、4、3、2、1后,ryg_ light为3'b010,绿灯亮,绿灯持续2秒后,ryg_light=3'b100, 红灯亮,红灯持续9秒钟后,ryg_light=3'b001,绿灯再次亮。

《数字系统设计与Verilog HDL》教学大纲

《数字系统设计与Verilog HDL》教学大纲

《数字系统设计与Verilog HDL》教学大纲课程中文名称: 数字系统设计与Verilog HDL 课程英文名称: Digital system design with Verilog HDL课程代码: 学时/实践或实验/学分:40/8/2.5课程类别: 课程性质: 选修课适用专业:执笔人: 审定人:审批人:一、教学目标教学目标是使学生了解掌握EDA技术的基础知识、可编程逻辑器件的基本结构、硬件描述语言的语法、编程方法以及相关开发软件的使用方法。

重点掌握:PLD器件的结构和开发流程、开发软件的使用方法、Verilog HDL语言的基本语法和利用Verilog HDL语言建模、仿真和综合的设计复杂数字逻辑电路与系统设计的方法和技术。

目标1:了解EDA技术的发展概况,掌握EDA技术的基本概念及相关的基础知识,掌握数字系统设计的设计方法和流程。

了解可编程逻辑器件的分类,掌握可编程逻辑器件的基本结构掌握,掌握CPLD及FPGA器件的基本结构掌握。

目标2:掌握Verilog HDL的语言要素、各种语句以及设计方法等基础知识;掌握Verilog HDL 模块和测试模块的基本结构,能够利用Verilog HDL语言对数字电路进行建模。

目标3:掌握Verilog HDL的三种描述方式;掌握层次设计的方法以及一些设计技巧;并掌握利用有限状态机对数字电路进行建模的方式。

目标4:掌握开发软件及仿真软件的使用流程及方法,能够对设计文件进行仿真验证。

二、课程教学目标与毕业要求关系矩阵注:用☆☆☆、☆☆、☆分别表示教学目标对毕业要求的贡献度为强、中、弱。

三、教学内容和学时分配(32学时)《数字系统设计与Verilog HDL》在理论教学方面侧重于PLD基本原理、Verilog HDL语法要素和行为语句、Verilog HDL阻塞与非阻塞赋值、Verilog HDL有限状态机设计和数字电路设计。

实验教学方面侧重于利用现代 EDA设计流程、软件仿真应用、仿真测试程序设计、层次设计。

数字系统设计与verilog HDL 第7章PPT课件

数字系统设计与verilog HDL 第7章PPT课件
1) 顺序块
由关键词begin开始,end结束 顺序块中的语句是一条一条执行,只有前面的语句执行完后, 后面的语句才能执行(内嵌套延迟和非阻塞赋值除外)。
如果语句中包含有延迟或事件控制,那么延迟总是相对于上 一条语句完成的时间的。
[例] begin
b=a; c=b;
end
[例] begin
b=a; #10 c=b;
//当信号a或信号b的值发生改变
@(posedge clock)
//当clock 的上升沿到来时
@(negedge clock)
//当clock 的下降沿到来时
@(posedge clk or negedge reset)
//当clk的上升沿到来或reset信号的下降沿到来
敏感信号列表举例(4选1数据选择器)
可综合性
√ √
√ √ √ √ √
√ √ √
7.1 过程语句
initial always 在一个模块(module)中,使用initial和always语句的 次数是不受限制的。initial语句常用于仿真中的初始化 ,initial过程块中的语句仅执行一次;always块内的语句 则是不断重复执行的。
第7章 Verilog行为语句
主要内容
◆ 过程语句(initial、always) ◆ 块语句(begin-end、fork-join) ◆ 赋值语句(assign、=、<=) ◆ 条件语句(if-else、case、casez、casex) ◆ 循环语句(for、forever、repeat、while) ◆ 编 译 指 示 语 句 ( ` define、`include、`ifdef、 `else、`endif) ◆ 任务(task)与 函数(function) ◆ 顺序执行与并发执行

精品文档-数字电路设计及Verilog HDL实现(康磊)-第7章

精品文档-数字电路设计及Verilog HDL实现(康磊)-第7章
仿真波形说明:图7.1.3可以产生占空比是1∶1的多个2K分 频信号。 从代码中可看到, 这主要是通过将计数器的各二进 制位作输出来实现的。
第7章 Verilog综合设计实例
图7.1.3 代码7.1.3功能仿真图
第7章 Verilog综合设计实例
7.1.2 奇数分频器是指分频系数是奇数, 即分频系数N=2n+1
N/2 begin
//模
第7章 Verilog综合设计实例
end else
begin
// endmodule
end end
count <= 1′b0; clk_out <= ~clk_out;
第7章 Verilog综合设计实例
仿真波形说明:图7.1.2也是在参数N=6时的仿真情况, 从 图中可以看出, 在复位信号为高电平时,输出信号clk_odd是 输入时钟clk的6分频信号, 且占空比为1∶1。
//偶数分频, 输出占空比1∶1
module odd2_division(clk, rst, clk_out);
input
clk, rst;
//
output
clk_out;
reg
clk_out;
第7章 Verilog综合设计实例
reg[3:0] parameter
count; N = 6;
always @ (posedge clk) if(!rst) begin count <= 1′b0; clk_out <= 1′b0; end else if(N%2==0) begin if (count < N/2-1)
end else
begin clkA <= ~clkA; count1 <= 1′b0;

数字系统设计与VerilogHDL课后习题

数字系统设计与VerilogHDL课后习题

数字系统设计与VerilogHDL课后习题习题11.1现代EDA技术的特点有哪些?1.2什么是T op-down设计方式?1.3数字系统的实现方式有哪些?各有什么优缺点?1.4什么是IP复用技术? IP核对EDA技术的应用和发展有什么意义?1.5用硬件描述语言设计数字电路的优势是什么?1.6结合自己的使用情况谈谈对EDA工具的认识。

1.7基于FPGA/CPLD的数字系统设计流程包括哪些步骤?1.8什么是综合?常用的综合工具有哪些?1.9功能仿真与时序仿真有什么区别?1.10 FPGA与ASIC在概念上有什么区别?习题22.1 PLA和PAL在结构上有什么区别?2.2说明GAL的OLMC有什么特点,它怎样实现可编程组合电路和时序电路?2.3简述基于乘积项的可编程逻辑器件的结构特点。

2.4基于查找表的可编程逻辑结构的原理是什么?2.5基于乘积项和基于查找表的结构各有什么优点?2.6 CPLD和FPGA在结构上有什么明显的区别?各有什么特点?2.7 FPGA器件中的存储器块有何作用?2.8 Altera的MAX II器件是属于CPLD还是FPGA,请查阅有关资料并进行分析。

2.9边界扫描技术有什么优点?2.10说说JTAG接口都有哪些功能。

2.11 FPGA/CPLD器件未来的发展趋势有哪些?习题44.1 用Verilog设计一个8位加法器,进行综合和仿真,查看综合和仿真结果。

4.2 用Verilog设计一个8位二进制加法计数器,带异步复位端口,进行综合和仿真,查看综合和仿真结果。

4.3用Verilog设计一个模60的BCD码计数器,进行综合和仿真,查看综合和仿真结果。

习题66.1阻塞赋值和非阻塞赋值有什么本质的区别?6.2用持续赋值语句描述一个4选1数据选择器。

6.3用行为语句设计一个8位计数器,每次在时钟的上升沿,计数器加1,当计数器溢出时,自动从零开始重新计数。

计数器有同步复位端。

6.4设计一个4位移位寄存器。

Verilog HDL数字系统设计课件

Verilog HDL数字系统设计课件

11/0 0?/0
1?/0
第一章 先进先出数据缓冲器设计
1.1 FIFO工作原理
先进先出 数据管理
ph-----头指针(指向第一个数据) pe------尾指针(指向第一个空单元)
判缓冲器空条件: 当pe=ph--------空 判缓冲器满条件: 当pe+1=ph-----满
clk nreset fifo_in fifo_rd fifo_wr
12.试以调用库函数的方法设计一个容量为8K×24bit的 RAM。其双向数据线为D [0:23],地址线为A[0:12],写 信号为new (低电平有效),读信号为nrd(低电平有效)。 13.设计一个位宽为8位、深度为8层的堆栈。 14.试按下图设计VerilogHDL模型。
1?/0 10/1 s4 01/1 1?/0 00/0 s3 10/1 01/0 s0 00/1 s1 00/0 00/0 s2 ?1/0 01/1
数字系统实例:

FIFO 异步串行通信接口 调制解调器 I2C接口的EEPROM读写器 CISC CPU RISC CPU
复习
例1:一个挂在总线上的8位寄存器。 module register0(db,nce,nwe) ; inout[7:0] db ; input nce,nwe; reg[7:0] q; assign db= (nce ||(!nwe))?8'bzzzzzzzz :q; always @(posedge nwe) begin if(nce==0) q<=db; end endmodule
//FIFO //Size:255Bytes module fifo(clk,nreset,pe,ph,fifo_in,fifo_out,fifo_wr,fifo_rd, busy,empty,full,state,wr,rd); input clk,nreset,fifo_wr,fifo_rd;// input[7:0] fifo_in; output[1:0] state; output empty,full,wr,rd,busy;// output[7:0] fifo_out,pe,ph; reg[1:0] state; reg[7:0] fifo_out; reg wr,rd,empty,full;//fifo write , read ,empty , full reg[7:0]pe,ph; //fifo point:P_end,P_head parameter write=1,read=2,stop=0;

Verilog HDL 数字系统设计及实践 第1章 Verilog层次化设计

Verilog HDL 数字系统设计及实践  第1章 Verilog层次化设计

1.3 Testbench的概念
tb_fadder i_A i_B i_Cin i_A o_S i_B i_Cin fadder_4 o_Cout $monitor 显示
图1.6 tb_fadder结构
而从系统函数$monitor中得到的仿真结果如下: 0 A: 0 B: 0 Cin:0 , sum: 0 , carry:0 20 A:15 B: 0 Cin:0 , sum:15 , carry:0 40 A:15 B: 1 Cin:0 , sum: 0 , carry:1 60 A:14 B: 1 Cin:0 , sum:15 , carry:0 80 A:14 B: 1 Cin:1 , sum: 0 , carry:1
1.2 模块和端口
module 模块名 ( input [位宽-1:0] 端口名1,端口名2; output [位宽-1:0] 端口3; inout [位宽-1:0] 端口名4; ); 利用ANSI C风格,可以一次性的完成模块名和端口的定义, 使得代码更为紧凑,减少了出错的几率,因此推荐使用这种风格进行端口定义。 本书中给出的所有例子都采用ANSI C风格来定义端口。
1.1 一个简单的例子——四位全加器的设计
fadder_1 u_fadder_1_3 ( .i_A(i_A[2]), .i_B(i_B[2]), .i_Cin(Cout_2), .o_S(o_S[2]), .o_Cout(Cout_3) ); fadder_1 u_fadder_1_4 ( .i_A(i_A[3]), .i_B(i_B[3]), .i_Cin(Cout_3), .o_S(o_S[3]), .o_Cout(o_Cout) ); endmodule
图1.6 tb_fadder结构 而从系统函数$monitor中得到的仿真结果如下: 0 A: 0 B: 0 Cin:0 , sum: 0 , carry:0 20 A:15 B: 0 Cin:0 , sum:15 , carry:0 40 A:15 B: 1 Cin:0 , sum: 0 , carry:1 60 A:14 B: 1 Cin:0 , sum:15 , carry:0 80 A:14 B: 1 Cin:1 , sum: 0 , carry:1

Verilog HDL数字设计教程(贺敬凯)第7章

Verilog HDL数字设计教程(贺敬凯)第7章

其余选通信号为低电平,这时仅K1对应的数码管显示来自段信
号端的数据,而其他7个数码管均不显示。因此,如果希望在8 个数码管显示不同的数据,就必须使得8个选通信号K1、 K2、…、K8轮流被单独选通,同时,在段信号输入口加上希望 在对应数码管上显示的数据,这样随着选通信号的变化,才能
实现扫描显示的目的。
数点的发光管,如果要考虑,需要增加段h。
第7章 Verilog HDL可综合设计举例
图7-5 共阴极数码管及其电路
第7章 Verilog HDL可综合设计举例
(2) 图7-6所示的是8位数码扫描显示电路,其中每个数码管 的8个段h、g、f、e、d、c、b、a(h是小数点)都分别连在一起, 8个数码管分别由8个选通信号K1、K2、…、K8来选择。被选通 的数码管显示数据,其余关闭。如在某一时刻,k1为高电平,
4'd4: SM_7S=7'b1100110;
4'd5: SM_7S=7'b1101101; 4'd6: SM_7S=7'b1111101; 4'd7: SM_7S=7'b0000111; 4'd8: SM_7S=7'b1111111;
第7章 Verilog HDL可综合设计举例
4'd9: SM_7S=7'b1101111; 4'd10: SM_7S=7'b1110111; 4'd11: SM_7S=7'b1111100; 4'd12: SM_7S=7'b0111001; 4'd13: SM_7S=7'b1011110; 4'd14: SM_7S=7'b1111001; 4'd15: SM_7S=7'b1110001;
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

可综合的设计中应注意
➢ 所有的内部寄存器都应该能够被复位,在使用FPGA实现设 计时,应尽量使用器件的全局复位端作为系统总的复位,用器 件的全局时钟端作为系统外部时钟输入端。 ➢ 在Verilog模块中,任务(task)通常被综合成组合逻辑的形 式;每个函数(function)在调用时通常也被综合为一个独立 的组合电路模块。
10.2 流水线设计技术
➢ 流水线设计是经常用于提高所设计系统运行速度的一种有效的 方法。为了保障数据的快速传输,必须使系统运行在尽可能高的 频率上,但如果某些复杂逻辑功能的完成需要较长的延时,就会 使系统难以运行在高的频率上,在这种情况下,可使用流水线技 术,即在长延时的逻辑功能块中插入触发器,使复杂的逻辑操作 分步完成,减小每个部分的延时,从而使系统的运行频率得以提 高。流水线设计的代价是增加了寄存器逻辑,增加了芯片资源的 耗用。
流水线操作的概念
➢ 如某个复杂逻辑功能的实现需较长的延时,可将其分解为几 个(如3个)步骤来实现,每一步的延时变小,在各步间加入寄 存器,以暂存中间结果,这样可大大提高整个系统的最高工作频 率。
流水线操作的示意图
非流水线方式8位全加器
module adder8 ( input[7:0] ina,inb, input cin,clk, output[7:0] sum, output cout); reg[7:0] tempa,tempb,sum; reg cout,tempc; always @(posedge clk) begin tempa=ina;tempb=inb;tempc=cin; end
综合过程
可综合的设计中应注意
➢ 不使用初始化语句;不使用带有延时的描述;不使用循环 次数不确定的循环语句,如forever,while等。 ➢ 应尽量采用同步方式设计电路。除非是关键路径的设计, 一般不采用调用门级元件来描述设计的方法,建议采用行为语 句来完成设计。 ➢ 用always过程块描述组合逻辑,应在敏感信号列表中列出块 中出现的所有输入信号。
always @(posedge clk) begin tempa=ina; tempb=inb; tempci=cin; end //clk)
begin {firstco,firsts}=tempa[1:0]+tempb[1:0]+tempci; //第一级加(低2位)
firsta=tempa[7:2]; firstb=tempb[7:2];
//未参加计算的数据缓存
end
always @(posedge clk)
begin {secondco,seconds}={firsta[1:0]+firstb[1:0]+firstco,firsts}; seconda=firsta[5:2]; secondb=firstb[5:2]; //数据缓存
end
always @(posedge clk)
begin {thirdco,thirds}={seconda[1:0]+secondb[1:0]+secondco,seconds};
thirda=seconda[3:2];thirdb=secondb[3:2];
//数据缓存
end
always @(posedge clk)
//输入数据锁存 always @(posedge clk) begin {cout,sum}=tempa+tempb+tempc; end endmodule
非流水线方式8位全加器
非流水线方式8位加法器的RTL综合视图
两级流水实现的8位加法器
module adder_pipe2(cout,sum,ina,inb,cin,clk); input[7:0] ina,inb; input cin,clk; output reg[7:0] sum; output reg cout; reg[3:0] tempa,tempb,firsts; reg firstc; always @(posedge clk) begin {firstc,firsts}=ina[3:0]+inb[3:0]+cin; tempa=ina[7:4]; tempb=inb[7:4]; end always @(posedge clk) begin {cout,sum[7:4]}=tempa+tempb+firstc; sum[3:0]=firsts; end endmodule
begin {cout,sum}={thirda[1:0]+thirdb[1:0]+thirdco,thirds}; //第四级加
end endmodule
设计综合到不同器件的最高工作频率
➢ 用Quartus Prime对源程序进行编译后,选择菜单 Tools→Advisors →Timing Optimization Advisor→Report Fmax Summary,可以看到,非流水线设计允许的最大工作 频率为417.71 MHz,而4级流水线设计允许的最大工作频率 为547.05 MHz,显然,流水线设计有效地提高了系统的最 高运行频率。
数字系统设计与Verilog HDL
第10章 Verilog设计进阶
10.1 设计的可综合性 10.2 流水线设计技术 10.3 资源共享 10.4 阻塞赋值与非阻塞赋值 10.5 加法器设计 10.6 乘法器设计 10.7 奇数分频与小数分频
10.1 设计的可综合性
➢ 用FPGA/CPLD器件实现的设计中,综合就是将Verilog或 VHDL语言描述的行为级或功能级电路模型转化为RTL级功能 块或门级电路网表的过程
四级流水线实现的8位加法器
module pipeline(cout,sum,ina,inb,cin,clk); output[7:0] sum;output cout; input[7:0] ina,inb;input cin,clk; reg[7:0] tempa,tempb,sum; reg tempci,firstco,secondco,thirdco, cout; reg[1:0] firsts, thirda,thirdb; reg[3:0] seconda, secondb, seconds; reg[5:0] firsta, firstb, thirds;
相关文档
最新文档