我的FPGA学习总结 Quartus II仿真流程

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

使用Quartus II进行仿真

新建一个Waveform文件(或打开现有的也行)

点【Edit】→【End Time】,设置仿真结束时间,

(结束时间设得太长,仿真会很慢,如果确实需要,建议使用modelsim仿真软件)

在name栏空白处,双击一下,弹出节点添加窗口,

点击【Node Finder…】,弹出节点选择窗口,

在【Filter】栏中可以选择不同的信号类型,一般选【Design Entry(all names)】,点击

还可以选择工程中的各个模块,默认是只列出顶层信号,选中Clk、Rst_n、Cout三个信号后,点击OK返回.vwf文件界面,选中Cout信号,点右键,选择【Properties】,

在“Radix”一项中,选择“Unsigned Decimal”,(方便观察数值),

选中Clk信号,在波形编辑栏中选择,设定周期、起始、占空比等属性;

选中Rst_n信号,通过编辑栏“0”、“1”设定波形

然后保存文件(这一步很重要),然后点编译,编辑完成后再点,执行仿真;

我们可以看到Cout已经有数值变化了,通过

还可以放大缩小查看。

注意:每次仿真前,必须对项目重新编译;

相关文档
最新文档