用JK触发器和门电路设计一个4位格雷码计数器
时序逻辑电路习题解答
![时序逻辑电路习题解答](https://img.taocdn.com/s3/m/e83a8cb3f705cc1754270932.png)
5-1 分析图所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。
CLKZ图 题 5-1图解:从给定的电路图写出驱动方程为:00121021()n n n nn D Q Q Q D Q D Q ⎧=⎪⎪=⎨⎪=⎪⎩e 将驱动方程代入D 触发器的特征方程D Qn =+1,得到状态方程为:10012110121()n n n n n nn n Q Q Q Q Q Q Q Q +++⎧=⎪⎪=⎨⎪=⎪⎩e 由电路图可知,输出方程为2nZ Q =根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图如图题解5-1(b )所示。
题解5-1(a )状态转换图1Q 2/Q ZQ题解5-1(b )时序图综上分析可知,该电路是一个四进制计数器。
5-2 分析图所示电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。
A 为输入变量。
YA图 题 5-2图解:首先从电路图写出驱动方程为:()0110101()n n n n nD AQ D A Q Q A Q Q ⎧=⎪⎨==+⎪⎩将上式代入触发器的特征方程后得到状态方程()101110101()n n n n n n nQ AQ Q A Q Q A Q Q ++⎧=⎪⎨==+⎪⎩电路的输出方程为:01n nY AQ Q =根据状态方程和输出方程,画出的状态转换图如图题解5-2所示YA题解5-2 状态转换图综上分析可知该电路的逻辑功能为:当输入为0时,无论电路初态为何,次态均为状态“00”,即均复位;当输入为1时,无论电路初态为何,在若干CLK 的作用下,电路最终回到状态“10”。
5-3 已知同步时序电路如图(a)所示,其输入波形如图 (b)所示。
试写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图,并说明该电路的功能。
X(a) 电路图1234CLK5678X(b)输入波形 图 题 5-3图解:电路的驱动方程、状态方程和输出方程分别为:00101100011011011, ,n n n n n n n n n nJ X K X J XQ K XQ X Q XQ XQ XQ Q XQ XQ XQ Y XQ ++⎧==⎪⎨==⎪⎩⎧=+=⎪⎨⎪=+=+⎩= 根据状态方程和输出方程,可分别做出1110,n n Q Q ++和Y 的卡诺图,如表5-1所示。
JK触发器为基础的四人抢答器的电路
![JK触发器为基础的四人抢答器的电路](https://img.taocdn.com/s3/m/912408d06037ee06eff9aef8941ea76e58fa4a10.png)
基于JK触发器的四人抢答器电路图主持人将space开关由低电平(接地端)搬到高电平,将高电平信号送入四个JK触发器的异步清零端(低电平有效),电路进入抢答状态。
A选手率先按下A开关,A指示灯亮,A选手获得抢答权。
此后,其他选手再按下抢答器,其指示灯也不亮。
之后,主持人将space 开关搬到低电平,电路异步清零,A灯灭,进入下一轮抢答。
设计此电路,主要实现两个功能:一是分辨出选手按键的先后,并锁存优先抢答者,同时对应该选手的LED灯亮;二是禁止其他选手按键操作无效。
开始时,ABCD四盏指示灯均不亮(低电平),即四个JK触发器的输出均为低电平。
这四个低电平信号进入四路或非门(4002BD_5V),输出高电平(或非门全低则高),并将此高电平信号输入四个与非门(U6A,U7B,U8C,U9D,型号均为74LS03N)的一个输入端。
然后,主持人将space开关由低电平(接地端)搬到高电平(10V 的Vcc),此高电平信号进入四个JK触发器的异步清零端(低电平有效),电路进入抢答状态。
当A选手率先按下开关A,将高电平(10V的Vcc)接入与非门U6A的一个输入端,这样,U6A的两个输入端由一高一低变成两个高电平,输出由高电平(一低则高)变为低电平(全高则低),此下降沿信号进入下降沿有效的JK触发器U1A的时钟输入端。
Q*=JQ’+K’Q。
而输入端J和K均接入高电平,故有Q*=1Q’+0Q=Q’,输出端发生翻转,由低电平变为高电平,A灯亮,A选手抢答成功,并将此高电平信号送入四路或非门(4002BD_5V)中,或非门输出低电平(或非门一高则低)。
此低电平信号进入与非门(U6A,U7B,U8C,U9D),与非门的输出一低则高,除A灯已亮不受影响外,其余的选手再按自己的开关时,各自的JK触发器的时钟输入端均被封锁在高电平,无法抢答。
抢答结束后,主持人将space开关接入低电平(接地端),将此信号送入低电平有效地异步清零端,实现异步清零,A灯灭,进入下一轮抢答。
数字逻辑电路与系统设计蒋立平主编习题解答
![数字逻辑电路与系统设计蒋立平主编习题解答](https://img.taocdn.com/s3/m/5c8cfd3b51e79b8969022699.png)
第4章习题及解答用门电路设计一个4线—2线二进制优先编码器。
编码器输入为3210A A A A ,3A 优先级最高,0A 优先级最低,输入信号低电平有效。
输出为10Y Y ,反码输出。
电路要求加一G 输出端,以指示最低优先级信号0A 输入有效。
题 解:根据题意,可列出真值表,求表达式,画出电路图。
其真值表、表达式和电路图如图题解所示。
由真值表可知3210G A A A A =。
(a)0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 0 1 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 10000000000000000000000000010100011111010110000103A 2A 1A 0A 1Y 0Y G真值表≥1&1Y 3A 2A 1&&1A 0Y &1GA 00 01 11 100010001111000000001101113A 2A 1A 0A 03231Y A A A A =+00 01 11 1000000011110001000011103A 2A 1A 0A 132Y A A =(b) 求输出表达式(c) 编码器电路图图 题解4.1试用3线—8线译码器74138扩展为5线—32线译码器。
译码器74138逻辑符号如图(a )所示。
题 解:5线—32线译码器电路如图题解所示。
&&&&11EN01234567BIN/OCTENY 0&G 1G 2AG 2B42101234567BIN/OCTEN&G 1G 2A G 2B42101234567BIN/OCT EN&G 1G 2A G 2B42101234567BIN/OCT EN&G 1G 2A G 2B421A 0A 1A 2A 3A 4Y 7Y 8Y 15Y 16Y 23Y 24Y 31图 题解4.3写出图所示电路输出1F 和2F 的最简逻辑表达式。
基于触发器的四位抢答器设计与制作课件
![基于触发器的四位抢答器设计与制作课件](https://img.taocdn.com/s3/m/b44411fa2cc58bd63186bd69.png)
双下降沿J-K触发器 74LS112 引脚图
VCC 1RD 2RD 2CP 2K 2J 2SD 2Q
16 15 14 13 12 11 10 9 D
12 34 5 678
1CP 1K 1J 1SD 1Q 1Q 2Q GND
基于触发器的四位抢答器设计与制作
D输入端;
Q Q输出端,Q反向输出
端。
RD
D触发器特性方程: Qn+1=D
D触发器功能表:
RD SD CP D 01 X X 10 X X
11 0 11 1
Qn+1 功能 0 置0 1 置1 0 Qn+1=D 1
• 1、测试双上升沿D触发器74LS74的逻辑功能 (1)任选一D触发器接线,SD 、RD、D端接逻辑
触发器及应用设计
• 实验目的 1、D触发器和JK触发器的逻辑功能和测 试方法。 2、学习用触发器构成简单时序逻辑电路 的方法。
74LS21 74LS20 74LS00
74LS74 74LS112 74LS175
上升沿D触发器逻辑图
SD
D CP >
CP是脉冲输入端; SD置1端,低电平有效;
Q RD置0端,低电平有效;
开关,Q、Q端接电平显示,CP端接单次脉冲; (2)测试SD 、RD置位、复位功能; (3)D触发器逻辑功能测试,将结果记入表格中。
双上升沿D触发器 74LS74 引脚图
VCC 2RD 2D 2CP 2SD 2Q 2Q
14 13 12 11 10 9 8 D
1 2 34 5 67
1RD 1D 1CP 1SD 1Q 1Q GND
Qn+1 功能 0 置0 1 置1 Qn 保持 0 Qn+1=0 1 Qn+1=1 Qn 翻转
如何用JK触发器设计计数器
![如何用JK触发器设计计数器](https://img.taocdn.com/s3/m/a7c7bc380912a216147929ac.png)
按计数增减分:加法计数器,减法计数器,加/减法计数器.7.3.1 异步计数器一,异步二进制计数器1,异步二进制加法计数器分析图7.3.1 由JK触发器组成的4位异步二进制加法计数器.分析方法:由逻辑图到波形图(所有JK触发器均构成为T/ 触发器的形式,且后一级触发器的时钟脉冲是前一级触发器的输出Q),再由波形图到状态表,进而分析出其逻辑功能.2,异步二进制减法计数器减法运算规则:0000-1时,可视为(1)0000-1=1111;1111-1=1110,其余类推.注:74LS163的引脚排列和74LS161相同,不同之处是74LS163采用同步清零方式.(2)CT74LS161的逻辑功能①=0时异步清零.C0=0②=1,=0时同步并行置数.③==1且CPT=CPP=1时,按照4位自然二进制码进行同步二进制计数.④==1且CPT·CPP=0时,计数器状态保持不变.4,反馈置数法获得N进制计数器方法如下:·写出状态SN-1的二进制代码.·求归零逻辑,即求置数控制端的逻辑表达式.·画连线图.(集成计数器中,清零,置数均采用同步方式的有74LS163;均采用异步方式的有74LS193,74LS197,74LS192;清零采用异步方式,置数采用同步方式的有74LS161,74LS160;有的只具有异步清零功能,如CC4520,74LS190,74LS191;74LS90则具有异步清零和异步置9功能.等等)试用CT74LS161构成模小于16的N进制计数器5,同步二进制加/减计数器二,同步十进制加法计数器8421BCD码同步十进制加法计数器电路分析三,集成同计数器1,集成十进制同步加法计数器CT74LS160(1)CT74LS160的引脚排列和逻辑功能示意图图7.3.3 CT74LS160的引脚排列图和逻辑功能示意图(2)CT74LS160的逻辑功能①=0时异步清零.C0=0②=1,=0时同步并行置数.③==1且CPT=CPP=1时,按照BCD码进行同步十进制计数.④==1且CPT·CPP=0时,计数器状态保持不变.2.集成十进制同步加/减计数器CT74LS190其逻辑功能示意图如教材图7.3.15所示.功能如教材表7.3.10所示.集成计数器小结:集成十进制同步加法计数器74160,74162的引脚排列图,逻辑功能示意图与74161,74163相同,不同的是,74160和74162是十进制同步加法计数器,而74161和74163是4位二进制(16进制)同步加法计数器.此外,74160和74162的区别是,74160采用的是异步清零方式,而74162采用的是同步清零方式. 74190是单时钟集成十进制同步可逆计数器,其引脚排列图和逻辑功能示意图与74191相同.74192是双时钟集成十进制同步可逆计数器,其引脚排列图和逻辑功能示意图与74193相同.7.3.3 利用计数器的级联获得大容量N进制计数器计数器的级联是将多个计数器串接起来,以获得计数容量更大的N进制计数器. 1,异步计数器一般没有专门的进位信号输出端,通常可以用本级的高位输出信号驱动下一级计数器计数,即采用串行进位方式来扩展容量.举例:74LS290(1)100进制计数器(2)64进制计数器2,同步计数器有进位或借位输出端,可以选择合适的进位或借位输出信号来驱动下一级计数器计数.同步计数器级联的方式有两种,一种级间采用串行进位方式,即异步方式,这种方式是将低位计数器的进位输出直接作为高位计数器的时钟脉冲,异步方式的速度较慢.另一种级间采用并行进位方式,即同步方式,这种方式一般是把各计数器的CP端连在一起接统一的时钟脉冲,而低位计数器的进位输出送高位计数器的计数控制端.举例:74161(1)60进制(2)12位二进制计数器(慢速计数方式)12位二进制计数器(快速计数方式)7.4 寄存器和移位寄存器寄存器是由具有存储功能的触发器组合起来构成的.一个触发器可以存储1位二进制代码,存放n位二进制代码的寄存器,需用n个触发器来构成.按照功能的不同,可将寄存器分为基本寄存器和移位寄存器两大类.基本寄存器只能并行送入数据,需要时也只能并行输出.移位寄存器中的数据可以在移位脉冲作用下依次逐位右移或左移,数据既可以并行输入,并行输出,也可以串行输入,串行输出,还可以并行输入,串行输出,串行输入,并行输出,十分灵活,用途也很广.7.4.1 基本寄存器概念:在数字电路中,用来存放二进制数据或代码的电路称为寄存器.1,单拍工作方式基本寄存器无论寄存器中原来的内容是什么,只要送数控制时钟脉冲CP上升沿到来,加在并行数据输入端的数据D0~D3,就立即被送入进寄存器中,即有:2.双拍工作方式基本寄存器(1)清零.CR=0,异步清零.即有:(2)送数.CR=1时,CP上升沿送数.即有:(3)保持.在CR=1,CP上升沿以外时间,寄存器内容将保持不变.7.4.2 移位寄存器1.单向移位寄存器四位右移寄存器:时钟方程:驱动方程:状态方程:右移位寄存器的状态表:输入现态次态说明Di CP1 ↑1 ↑1 ↑1 ↑0 0 0 01 0 0 01 1 0 01 1 1 01 0 0 01 1 0 01 1 1 01 1 1 1连续输入4个1单向移位寄存器具有以下主要特点:单向移位寄存器中的数码,在CP脉冲操作下,可以依次右移或左移.n位单向移位寄存器可以寄存n位二进制代码.n个CP脉冲即可完成串行输入工作,此后可从Q0~Qn-1端获得并行的n位二进制数码,再用n个CP脉冲又可实现串行输出操作.若串行输入端状态为0,则n个CP脉冲后,寄存器便被清零.2.双向移位寄存器M=0时右移M=1时左移3.集成双向移位寄存器74LS194CT74LS194的引脚排列图和逻辑功能示意图:CT74LS194的功能表:工作状态0 × × ×1 0 0 ×1 0 1 ↑1 1 0 ↑1 1 1 ×异步清零保持右移左移并行输入7.4.3 移位寄存器的应用一,环形计数器1,环形计数器是将单向移位寄存器的串行输入端和串行输出端相连, 构成一个闭合的环.结构特点:,即将FFn-1的输出Qn-1接到FF0的输入端D0.工作原理:根据起始状态设置的不同,在输入计数脉冲CP的作用下,环形计数器的有效状态可以循环移位一个1,也可以循环移位一个0.即当连续输入CP脉冲时,环形计数器中各个触发器的Q端或端,将轮流地出现矩形脉冲.实现环形计数器时,必须设置适当的初态,且输出Q3Q2Q1Q0端初始状态不能完全一致(即不能全为"1"或"0"),这样电路才能实现计数, 环形计数器的进制数N与移位寄存器内的触发器个数n相等,即N=n2,能自启动的4位环形计数器状态图:由74LS194构成的能自启动的4位环形计数器时序图二,扭环形计数器1,扭环形计数器是将单向移位寄存器的串行输入端和串行反相输出端相连,构成一个闭合的环.实现扭环形计数器时,不必设置初态.扭环形计数器的进制数N与移位寄存器内的触发器个数n满足N=2n的关系结构特点为:,即将FFn-1的输出接到FF0的输入端D0.状态图:2,能自启动的4位扭环形计数器7.4.4 顺序脉冲发生器在数字电路中,能按一定时间,一定顺序轮流输出脉冲波形的电路称为顺序脉冲发生器.顺序脉冲发生器也称脉冲分配器或节拍脉冲发生器,一般由计数器(包括移位寄存器型计数器)和译码器组成.作为时间基准的计数脉冲由计数器的输入端送入,译码器即将计数器状态译成输出端上的顺序脉冲,使输出端上的状态按一定时间,一定顺序轮流为1,或者轮流为0.前面介绍过的环形计数器的输出就是顺序脉冲,故可不加译码电路即可直接作为顺序脉冲发生器.一,计数器型顺序脉冲发生器计数器型顺序脉冲发生器一般用按自然态序计数的二进制计数器和译码器构成. 举例:用集成计数器74LS163和集成3线-8线译码器74LS138构成的8输出顺序脉冲发生器.二,移位型顺序脉冲发生器◎移位型顺序脉冲发生器由移位寄存器型计数器加译码电路构成.其中环形计数器的输出就是顺序脉冲,故可不加译码电路就可直接作为顺序脉冲发生器.◎时序图:◎由CT74LS194构成的顺序脉冲发生器见教材P233的图7.4.6和图7.4.77.5 同步时序电路的设计(略)7.6 数字系统一般故障的检查和排除(略)本章小结计数器是一种应用十分广泛的时序电路,除用于计数,分频外,还广泛用于数字测量,运算和控制,从小型数字仪表,到大型数字电子计算机,几乎无所不在,是任何现代数字系统中不可缺少的组成部分.计数器可利用触发器和门电路构成.但在实际工作中,主要是利用集成计数器来构成.在用集成计数器构成N进制计数器时,需要利用清零端或置数控制端,让电路跳过某些状态来获得N进制计数器.寄存器是用来存放二进制数据或代码的电路,是一种基本时序电路.任何现代数字系统都必须把需要处理的数据和代码先寄存起来,以便随时取用.寄存器分为基本寄存器和移位寄存器两大类.基本寄存器的数据只能并行输入,并行输出.移位寄存器中的数据可以在移位脉冲作用下依次逐位右移或左移,数据可以并行输入,并行输出,串行输入,串行输出,并行输入,串行输出,串行输入,并行输出.寄存器的应用很广,特别是移位寄存器,不仅可将串行数码转换成并行数码,或将并行数码转换成串行数码,还可以很方便地构成移位寄存器型计数器和顺序脉冲发生器等电路.在数控装置和数字计算机中,往往需要机器按照人们事先规定的顺序进行运算或操作,这就要求机器的控制部分不仅能正确地发出各种控制信号,而且要求这些控制信号在时间上有一定的先后顺序.通常采取的方法是,用一个顺序脉冲发生器来产生时间上有先后顺序的脉冲,以控制系统各部分协调地工作.顺序脉冲发生器分计数型和移位型两类.计数型顺序脉冲发生器状态利用率高,但由于每次CP信号到来时,可能有两个或两个以上的触发器翻转,因此会产生竞争冒险,需要采取措施消除.移位型顺序脉冲发生器没有竞争冒险问题,但状态利用率低.由JK触发器组成的4位异步二进制减法计数器的工作情况分析略.二,异步十进制加法计数器由JK触发器组成的异步十进制加法计数器的由来:在4位异步二进制加法计数器的基础上经过适当修改获得.有效状态:0000——1001十个状态;无效状态:1010~1111六个状态.三,集成异步计数器CT74LS290为了达到多功能的目的,中规模异步计数器往往采用组合式的结构,即由两个独立的计数来构成整个的计数器芯片.如:74LS90(290):由模2和模5的计数器组成;74LS92 :由模2和模6的计数器组成;74LS93 :由模2和模8的计数器组成.1.CT74LS290的情况如下.(1)电路结构框图和逻辑功能示意图(2)逻辑功能如下表7.3.1所示.注:5421码十进制计数时,从高位到低位的输出为.2,利用反馈归零法获得N(任意正整数)进制计数器方法如下:(1)写出状态SN的二进制代码.(2)求归零逻辑(写出反馈归零函数),即求异步清零端(或置数控制端)信号的逻辑表达式.(3)画连线图.举例:试用CT74LS290构成模小于十的N进制计数器.CT74LS290则具有异步清零和异步置9功能.讲解教材P215的[例7.3.1].注:CT74LS90的功能与CT74LS290基本相同.7.3.2 同步计数器一,同步二进制计数器1.同步二进制加法计数器2,同步二进制减法计数器3,集成同步二进制计数器CT74LS161(1)CT74LS161的引脚排列和逻辑功能示意图注:74LS163的引脚排列和74LS161相同,不同之处是74LS163采用同步清零方式.(2)CT74LS161的逻辑功能①=0时异步清零.C0=0②=1,=0时同步并行置数.③==1且CPT=CPP=1时,按照4位自然二进制码进行同步二进制计数.④==1且CPT·CPP=0时,计数器状态保持不变.4,反馈置数法获得N进制计数器方法如下:·写出状态SN-1的二进制代码.·求归零逻辑,即求置数控制端的逻辑表达式.·画连线图.(集成计数器中,清零,置数均采用同步方式的有74LS163;均采用异步方式的有74LS193,74LS197,74LS192;清零采用异步方式,置数采用同步方式的有74LS161,74LS160;有的只具有异步清零功能,如CC4520,74LS190,74LS191;74LS90则具有异步清零和异步置9功能.等等)试用CT74LS161构成模小于16的N进制计数器5,同步二进制加/减计数器二,同步十进制加法计数器8421BCD码同步十进制加法计数器电路分析三,集成同计数器1,集成十进制同步加法计数器CT74LS160(1)CT74LS160的引脚排列和逻辑功能示意图图7.3.3 CT74LS160的引脚排列图和逻辑功能示意图(2)CT74LS160的逻辑功能①=0时异步清零.C0=0②=1,=0时同步并行置数.③==1且CPT=CPP=1时,按照BCD码进行同步十进制计数.④==1且CPT·CPP=0时,计数器状态保持不变.2.集成十进制同步加/减计数器CT74LS190其逻辑功能示意图如教材图7.3.15所示.功能如教材表7.3.10所示.集成计数器小结:集成十进制同步加法计数器74160,74162的引脚排列图,逻辑功能示意图与74161,74163相同,不同的是,74160和74162是十进制同步加法计数器,而74161和74163是4位二进制(16进制)同步加法计数器.此外,74160和74162的区别是,74160采用的是异步清零方式,而74162采用的是同步清零方式. 74190是单时钟集成十进制同步可逆计数器,其引脚排列图和逻辑功能示意图与74191相同.74192是双时钟集成十进制同步可逆计数器,其引脚排列图和逻辑功能示意图与74193相同.7.3.3 利用计数器的级联获得大容量N进制计数器计数器的级联是将多个计数器串接起来,以获得计数容量更大的N进制计数器. 1,异步计数器一般没有专门的进位信号输出端,通常可以用本级的高位输出信号驱动下一级计数器计数,即采用串行进位方式来扩展容量.举例:74LS290(1)100进制计数器(2)64进制计数器2,同步计数器有进位或借位输出端,可以选择合适的进位或借位输出信号来驱动下一级计数器计数.同步计数器级联的方式有两种,一种级间采用串行进位方式,即异步方式,这种方式是将低位计数器的进位输出直接作为高位计数器的时钟脉冲,异步方式的速度较慢.另一种级间采用并行进位方式,即同步方式,这种方式一般是把各计数器的CP端连在一起接统一的时钟脉冲,而低位计数器的进位输出送高位计数器的计数控制端.举例:74161(1)60进制(2)12位二进制计数器(慢速计数方式)12位二进制计数器(快速计数方式)7.4 寄存器和移位寄存器寄存器是由具有存储功能的触发器组合起来构成的.一个触发器可以存储1位二进制代码,存放n位二进制代码的寄存器,需用n个触发器来构成.按照功能的不同,可将寄存器分为基本寄存器和移位寄存器两大类.基本寄存器只能并行送入数据,需要时也只能并行输出.移位寄存器中的数据可以在移位脉冲作用下依次逐位右移或左移,数据既可以并行输入,并行输出,也可以串行输入,串行输出,还可以并行输入,串行输出,串行输入,并行输出,十分灵活,用途也很广.7.4.1 基本寄存器概念:在数字电路中,用来存放二进制数据或代码的电路称为寄存器.1,单拍工作方式基本寄存器无论寄存器中原来的内容是什么,只要送数控制时钟脉冲CP上升沿到来,加在并行数据输入端的数据D0~D3,就立即被送入进寄存器中,即有:2.双拍工作方式基本寄存器(1)清零.CR=0,异步清零.即有:(2)送数.CR=1时,CP上升沿送数.即有:(3)保持.在CR=1,CP上升沿以外时间,寄存器内容将保持不变.7.4.2 移位寄存器1.单向移位寄存器四位右移寄存器:时钟方程:驱动方程:状态方程:右移位寄存器的状态表:输入现态次态说明Di CP1 ↑1 ↑1 ↑1 ↑0 0 0 01 0 0 01 1 0 01 1 1 01 0 0 01 1 0 01 1 1 01 1 1 1连续输入4个1单向移位寄存器具有以下主要特点:单向移位寄存器中的数码,在CP脉冲操作下,可以依次右移或左移.n位单向移位寄存器可以寄存n位二进制代码.n个CP脉冲即可完成串行输入工作,此后可从Q0~Qn-1端获得并行的n位二进制数码,再用n个CP脉冲又可实现串行输出操作.若串行输入端状态为0,则n个CP脉冲后,寄存器便被清零.2.双向移位寄存器M=0时右移M=1时左移3.集成双向移位寄存器74LS194CT74LS194的引脚排列图和逻辑功能示意图:CT74LS194的功能表:工作状态0 × × ×1 0 0 ×1 0 1 ↑1 1 0 ↑1 1 1 ×异步清零保持右移左移并行输入7.4.3 移位寄存器的应用一,环形计数器1,环形计数器是将单向移位寄存器的串行输入端和串行输出端相连, 构成一个闭合的环.结构特点:,即将FFn-1的输出Qn-1接到FF0的输入端D0.工作原理:根据起始状态设置的不同,在输入计数脉冲CP的作用下,环形计数器的有效状态可以循环移位一个1,也可以循环移位一个0.即当连续输入CP脉冲时,环形计数器中各个触发器的Q端或端,将轮流地出现矩形脉冲.实现环形计数器时,必须设置适当的初态,且输出Q3Q2Q1Q0端初始状态不能完全一致(即不能全为"1"或"0"),这样电路才能实现计数, 环形计数器的进制数N与移位寄存器内的触发器个数n相等,即N=n2,能自启动的4位环形计数器状态图:由74LS194构成的能自启动的4位环形计数器时序图二,扭环形计数器1,扭环形计数器是将单向移位寄存器的串行输入端和串行反相输出端相连,构成一个闭合的环.实现扭环形计数器时,不必设置初态.扭环形计数器的进制数N与移位寄存器内的触发器个数n满足N=2n的关系结构特点为:,即将FFn-1的输出接到FF0的输入端D0.状态图:2,能自启动的4位扭环形计数器7.4.4 顺序脉冲发生器在数字电路中,能按一定时间,一定顺序轮流输出脉冲波形的电路称为顺序脉冲发生器.顺序脉冲发生器也称脉冲分配器或节拍脉冲发生器,一般由计数器(包括移位寄存器型计数器)和译码器组成.作为时间基准的计数脉冲由计数器的输入端送入,译码器即将计数器状态译成输出端上的顺序脉冲,使输出端上的状态按一定时间,一定顺序轮流为1,或者轮流为0.前面介绍过的环形计数器的输出就是顺序脉冲,故可不加译码电路即可直接作为顺序脉冲发生器.一,计数器型顺序脉冲发生器计数器型顺序脉冲发生器一般用按自然态序计数的二进制计数器和译码器构成. 举例:用集成计数器74LS163和集成3线-8线译码器74LS138构成的8输出顺序脉冲发生器.二,移位型顺序脉冲发生器◎移位型顺序脉冲发生器由移位寄存器型计数器加译码电路构成.其中环形计数器的输出就是顺序脉冲,故可不加译码电路就可直接作为顺序脉冲发生器.◎时序图:◎由CT74LS194构成的顺序脉冲发生器见教材P233的图7.4.6和图7.4.77.5 同步时序电路的设计(略)7.6 数字系统一般故障的检查和排除(略)本章小结计数器是一种应用十分广泛的时序电路,除用于计数,分频外,还广泛用于数字测量,运算和控制,从小型数字仪表,到大型数字电子计算机,几乎无所不在,是任何现代数字系统中不可缺少的组成部分.计数器可利用触发器和门电路构成.但在实际工作中,主要是利用集成计数器来构成.在用集成计数器构成N进制计数器时,需要利用清零端或置数控制端,让电路跳过某些状态来获得N进制计数器.寄存器是用来存放二进制数据或代码的电路,是一种基本时序电路.任何现代数字系统都必须把需要处理的数据和代码先寄存起来,以便随时取用.寄存器分为基本寄存器和移位寄存器两大类.基本寄存器的数据只能并行输入,并行输出.移位寄存器中的数据可以在移位脉冲作用下依次逐位右移或左移,数据可以并行输入,并行输出,串行输入,串行输出,并行输入,串行输出,串行输入,并行输出.寄存器的应用很广,特别是移位寄存器,不仅可将串行数码转换成并行数码,或将并行数码转换成串行数码,还可以很方便地构成移位寄存器型计数器和顺序脉冲发生器等电路.在数控装置和数字计算机中,往往需要机器按照人们事先规定的顺序进行运算或操作,这就要求机器的控制部分不仅能正确地发出各种控制信号,而且要求这些控制信号在时间上有一定的先后顺序.通常采取的方法是,用一个顺序脉冲发生器来产生时间上有先后顺序的脉冲,以控制系统各部分协调地工作.顺序脉冲发生器分计数型和移位型两类.计数型顺序脉冲发生器状态利用率高,但由于每次CP信号到来时,可能有两个或两个以上的触发器翻转,因此会产生竞争冒险,需要采取措施消除.移位型顺序脉冲发生器没有竞争冒险问题,但状态利用率低.。
实验二 同步模4可逆计数器
![实验二 同步模4可逆计数器](https://img.taocdn.com/s3/m/be830afd856a561252d36fbc.png)
实验二同步模4可逆计数器
实验目的:1.掌握同步时序逻辑电路的设计方法。
2.加深对同步和时序两个概念的理解。
实验条件:
1.操作系统为WINDOWS 2000的计算机一台
2.Multisim 2001电子线路仿真软件一套
实验组件:双D触发器 74LS74 1片,三输入三与非门74LS10 1片,二输入二与非门74LS00 1片,二输入四异或门74LS86 1片
实验内容:
利用D触发器设计一个可逆模4计数器。
附:(选做)
利用JK触发器设计一个可逆模4计数器。
实验要求:
1.看懂光盘中的实验过程,并在Multisim 2001中使用逻辑分析仪验证结果.
2.使用方波发生器5V 1KHZ(器件库—电源—CLOCK SOURCE)提供脉冲,灯泡(器件库—指示器件—LAMP),开关(器件库—BASIC—SWITCH—SPDT)。
3.根据实验内容,列出真值表、逻辑函数式,并在下周三上交实验报告。
附:74LS10引脚图
74LS74的逻辑符号。
用JK触发器和门电路设计一个4位格雷码计数器
![用JK触发器和门电路设计一个4位格雷码计数器](https://img.taocdn.com/s3/m/e6afcbb819e8b8f67c1cb978.png)
福建农林大学金山学院课程设计报告课程名称:数字逻辑课程设计题目:用JK触发器和门电路设计一个4位格雷码计数器姓名:系:专业:年级:学号:指导教师:职称:2011年6 月29 日用JK触发器和门电路设计一个4位格雷码计数器一、实验目的1、用JK触发器和门电路设计一个4位格雷码计数器。
2、加强对格雷码的认识。
3、熟悉对JK触发器的使用。
4、利用仿真软件Multisim11.0对数字电路进行仿真和实现。
二、仿真软件Multisim介绍(注:因为本课程设计用的是2011年的版本,所以对此进行简单的介绍)⑴仿真软件Multisim11.0:NI Multisim软件是一个专门用于电子电路仿真与设计的EDA工具软件。
作为 Windows 下运行的个人桌面电子设计工具,NI Multisim 是一个完整的集成化设计环境。
NI Multisim计算机仿真与虚拟仪器技术可以很好地解决理论教学与实际动手实验相脱节的这一问题。
学员可以很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来,并且可以用虚拟仪器技术创造出真正属于自己的仪表。
NI Multisim软件绝对是电子学教学的首选软件工具。
⑵特点:①直观的图形界面。
②丰富的元器件。
③强大的仿真能力。
④丰富的测试仪器。
⑤完备的分析手段。
⑥独特的射频(RF)模块。
⑦强大的MCU模块。
⑧完善的后处理。
⑨详细的报告。
⑩兼容性好的信息转换。
三、实验步骤(包括设计过程、仿真结果和结果分析)⒈设计过程6 0 1 0 1 07 0 1 0 0 08 1 1 0 0 09 1 1 0 1 0 10 1 1 1 1 0 11 1 1 1 0 0 12 1 0 1 0 0 13 1 0 1 1 0 14 1 0 0 1 0 15 1 0 0 0 1 16 0 0 0 0 0② 按状态转换表的计数顺序可得****3210Q Q Q Q 的卡诺图:从而分别得出*3Q 、*2Q 、*1Q 、*0Q 、C 的卡诺图:③ 由卡诺图得出状态方程和输出方程:*''''''''3313021021032103()()'Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q =++=+ |*''''''2212031031023102()()'Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q =++=+*'''11032032023010321(()')(())'Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q =++=⊕+⊕*'''''''032132132132132103210()'()'Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q =+++=⊕⊕+⊕⊕'''3210C Q Q Q Q =④ 又JK 触发器的特性方程为:*''Q JQ K Q =+,所以可得驱动方程:''3210J Q Q Q =,'''3210K Q Q Q = ''2310J Q Q Q =,'2310K Q Q Q =1320()'J Q Q Q =⊕,1320()K Q Q Q =⊕ 0321()'J Q Q Q =⊕⊕,0321K Q Q Q =⊕⊕⑤ 由驱动方程可画出逻辑电路图:⒉仿真结果①原理图如下:②部分波形图如下:⑴0000~1101⑵1101~0011③电路状态变化如下:⑴32100000Q Q Q Q=,0C=⑵32100001Q Q Q Q=,0C=⑶32100011Q Q Q Q=,0C=3210⑸32100110Q Q Q Q=,0C=⑹32100111Q Q Q Q=,0C=3210⑻32100100Q Q Q Q=,0C=⑼32101100Q Q Q Q=,0C=3210⑾32101111Q Q Q Q=,0C=⑿32101110Q Q Q Q=,0C=3210⒁32101011Q Q Q Q=,0C=⒂32101001Q Q Q Q=,0C=. '. ⒃32101000Q Q Q Q =,1C =⒊结果分析当3Q 、2Q 、1Q 、0Q 从00001000:完成一个循环时,进位输出C 才为1,其他情况都为0,符合题意要求。
用verilog语言设计四位简单计算器
![用verilog语言设计四位简单计算器](https://img.taocdn.com/s3/m/b9c56f2558fafab068dc0223.png)
module jsq(clk,keyin,keyout,leda,ledb,num3);input clk;input [3:0]keyin;output [3:0]keyout;reg [3:0]keyout;output [3:0]leda;reg [3:0]leda;output [3:0]ledb;reg [3:0]ledb;integer clk_klv;output [31:0]num3;always @(posedge clk) //分频clk_klv=clk_klv+1;reg [1:0]keyhang=0; //按键扫描reg [3:0]keynum=0; //最近按键的值reg keyen=0; //按键锁定作用,每次都必须重新按下才有效reg keysign=0; //当前按键的属性,0代表数字,1代表 +-*/=复位reg [7:0]delay=0; //按键消抖reg order2=0; //作用:延迟一个时钟,keyen跳变输出,确保keynum 被赋值always @(posedge clk_klv[10])beginif(order2==0)begincase({keyhang,keyin})6'b00_1110: begin delay=0;if(keyen==0)begin keysign=0;keynum=4'h0;order2=1;end end6'b00_1101: begin delay=0;if(keyen==0)begin keysign=0;keynum=4'h1;order2=1;end end6'b00_1011: begin delay=0;if(keyen==0)begin keysign=0;keynum=4'h2;order2=1;end end6'b00_0111: begin delay=0;if(keyen==0)begin keysign=0;keynum=4'h3;order2=1;end end6'b01_1110: begin delay=0;if(keyen==0)begin keysign=0;keynum=4'h4;order2=1;end end6'b01_1101: begin delay=0;if(keyen==0)begin keysign=0;keynum=4'h5;order2=1;end end6'b01_1011: begin delay=0;if(keyen==0)begin keysign=0;keynum=4'h6;order2=1;end end6'b01_0111: begin delay=0;if(keyen==0)begin keysign=0;keynum=4'h7;order2=1;end end6'b10_1110: begin delay=0;if(keyen==0)begin keysign=0;keynum=4'h8;order2=1;end end6'b10_1101: begin delay=0;if(keyen==0)begin keysign=0;keynum=4'h9;order2=1;end end6'b10_1011: begin delay=0;if(keyen==0)begin keysign=1;keynum=4'ha;order2=1;end end6'b10_0111: begin delay=0;if(keyen==0)begin keysign=1;keynum=4'hb;order2=1;end end6'b11_1110: begin delay=0;if(keyen==0)begin keysign=1;keynum=4'hc;order2=1;end end6'b11_1101: begin delay=0;if(keyen==0)begin keysign=1;keynum=4'hd;order2=1;end end6'b11_1011: begin delay=0;if(keyen==0)begin keysign=1;keynum=4'he;order2=1;end end6'b11_0111: begin delay=0;if(keyen==0)begin keysign=1;keynum=4'hf;order2=1;end enddefault: beginkeyhang=keyhang+1;delay=delay+1;if(delay>=100)keyen=0;endendcasecase(keyhang)0:keyout=4'b1110;1:keyout=4'b1101;2:keyout=4'b1011;3:keyout=4'b0111;endcaseendelsebegin keyen=1;order2=0;endendreg [2:0]order=0; //当前状态integer num1=0,num2=0,num3=0; //第一个,第二个,结果reg [3:0]sign; //+-*/reg [7:0]lednum=100; //显示的数字reg [3:0]in_num=0; //限制显示的数字位,限制在2为:0~99always @(posedge keyen)begincase(order)0: if(keysign) begin sign[3:0]=keynum[3:0];order=order+1; in_num=0;end //在没有按下+-*/之前会存储数字elseif(num1<10000)begin num1=num1*10+keynum;lednum=num1;in_num=in_num+1; end1: if(keysign) begincase(sign)//在没有按下=号之前会存储数字4'ha:num3=num1+num2;4'hb:num3=num1-num2;4'hc:num3=num1*num2;4'hd:num3=num1/num2;endcaselednum=num3+0;order=order+1;endelse if(num2<10000)begin num2=num2*10;num2=num2+keynum;lednum=num2;in_num=in_num+1; endendcaseif(keynum==4'hf)begin order=0;num1=0;num2=0;num3=0;lednum=100;end //复位endalways @(*) //显示函数if(lednum<=99)beginleda=lednum/10;ledb=lednum%10;endelsebeginleda=15;ledb=15;endendmodule。
时序逻辑电路习题解答
![时序逻辑电路习题解答](https://img.taocdn.com/s3/m/60f6014b0c22590103029d7a.png)
5-1 分析图所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。
CLKZ图 题 5-1图解:从给定的电路图写出驱动方程为:00121021()n n nn n D Q Q Q D Q D Q ⎧=⎪⎪=⎨⎪=⎪⎩将驱动方程代入D 触发器的特征方程D Qn =+1,得到状态方程为:10012110121()n n n n n n n n Q Q Q Q Q Q Q Q +++⎧=⎪⎪=⎨⎪=⎪⎩由电路图可知,输出方程为2nZ Q =根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图如图题解5-1(b )所示。
题解5-1(a )状态转换图1Q 2/Q ZQ题解5-1(b )时序图综上分析可知,该电路是一个四进制计数器。
5-2 分析图所示电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。
A 为输入变量。
YA图 题 5-2图解:首先从电路图写出驱动方程为:()0110101()n n n n nD AQ D A Q Q A Q Q ⎧=⎪⎨==+⎪⎩将上式代入触发器的特征方程后得到状态方程()101110101()n n n n n n nQ AQ Q A Q Q A Q Q ++⎧=⎪⎨==+⎪⎩电路的输出方程为:01n nY AQ Q =根据状态方程和输出方程,画出的状态转换图如图题解5-2所示YA题解5-2 状态转换图综上分析可知该电路的逻辑功能为:当输入为0时,无论电路初态为何,次态均为状态“00”,即均复位;当输入为1时,无论电路初态为何,在若干CLK 的作用下,电路最终回到状态“10”。
5-3 已知同步时序电路如图(a)所示,其输入波形如图 (b)所示。
试写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图,并说明该电路的功能。
X(a) 电路图1234CLK5678X(b)输入波形 图 题 5-3图解:电路的驱动方程、状态方程和输出方程分别为:00101100011011011, ,n n n n n n n n n nJ X K X J XQ K XQ X Q XQ XQ XQ Q XQ XQ XQ Y XQ ++⎧==⎪⎨==⎪⎩⎧=+=⎪⎨⎪=+=+⎩= 根据状态方程和输出方程,可分别做出1110,n n Q Q ++和Y 的卡诺图,如表5-1所示。
“数字电子技术”作业及答案
![“数字电子技术”作业及答案](https://img.taocdn.com/s3/m/f35c1e8ef12d2af90342e626.png)
第1章作业1.1为了将600份文件顺序编码,如果采用二进制代码,最少需要用几位?如果改用八进制或十六进制代码,则最少各需要用几位?答:二进制代码最少需要10位,八进制最少需要4位,十六进制最少3位.1.4将下列二进制数转换为等值的十进制数。
(1)(101.011)2 ;(3)(1111.1111)2。
答:1、5.375 ; 3、15.93751.5将下列二进制数转换为等值的八进制数和十六进制数。
(2)(1001.1101)2;(4)(101100.110011)2。
答:2、11.64, 9 ; 4、54.63, 261.6将下列十六进制数转换为等值的二进制数。
(1)(8.C)16;(3)(8F.FF)16。
答:1、(10001100)2 ;3、(10001111.11111111)21.9将下列十进制数转换为等值的二进制数和十六进制数。
要求二进制数保留小数点以后4位有效数字。
(2)(188.875)10;(4)(174.06)10。
答:2、10111100.111 B=BC.EH ;4、10101110.0001 B=AE.1H1.14用二进制补码运算计算下列各式。
式中的4位二进制数是不带符号位的绝对值。
如果和为负数,请求出负数的绝对值。
(提示:所用补码的有效位数应足够表示代数和的最大绝对值。
)(2)1101+1011;(4)1101-1011;(6)1011-1101;(8)-1101-1011。
答: 2、补码取5位有效数字和1位符号位001101+001011=0110004、补码取4位有效数字和1位符号位01101+10101=000106、1011-11018、-1101-1011第2章作业2.4已知逻辑函数的真值表如表P2.4(a)、(b)所示,试写出对应的逻辑函数式。
表P2.4(a ) 表P2.4(b )2.7写出图P2.7(a )、(b )所示电路的输出逻辑函数式。
图P2.72.8已知逻辑函数Y 的波形图如图P2.8所示,试求Y 的真值表和逻辑函数式。
4位递增计数器的设计的原理
![4位递增计数器的设计的原理](https://img.taocdn.com/s3/m/010f78f1ab00b52acfc789eb172ded630b1c98a6.png)
4位递增计数器的设计的原理
4位递增计数器是一种能够自动递增并输出当前值的电路。
其
原理是利用多个触发器和门电路按照一定规律进行计数。
常见的设计是使用4个D触发器和3个与门实现计数器功能。
具体操作是,首先将4个D触发器的Q输出和清零端分别接
到3个与门的输入端,另一端接上时钟脉冲。
当输入一个时钟脉冲时,第一个触发器的Q输出变为高电平,第二个触发器
的Q输出在第一个触发器的Q输出为高电平的情况下才会变
为高电平,以此类推,最后一个触发器的Q输出变为高电平时,就实现了一次计数。
同时,当第三个与门的输入端都为高电平时,输出一个清零脉冲,将所有触发器的Q输出清零,
实现循环计数。
这样,就可以实现一个4位递增计数器的功能,可以自动计数,方便实用。
制作并仿真一个4位的计数器。该计数器至少具有两个按键,加1按键以及清零按键
![制作并仿真一个4位的计数器。该计数器至少具有两个按键,加1按键以及清零按键](https://img.taocdn.com/s3/m/a384ea128762caaedd33d4a4.png)
成绩实验名称:简单4位计数器仿真实验
一、实验目的
1、了解动态扫描显示的基本原理。
2、能够运用多位数码管显示指定内容。
3、熟悉动态扫描显示方法。
二、实验仪器
三、实验内容
四、实验原理
实验报告
五、实验步骤
1、根据给定的实验要求,分析实验的目的以及实验要求。
2、通过计算机仿真软件Proteus,根据给定电路图设计电路,并绘制电路。
3、复习练习动态扫描显示驱动程序写法,通过程序控制数码显示每一位都不同的数字,
例如“1234”。
4、根据自己所设计的电路,编写控制程序。
5、调试所编写的控制程序,直至程序没有语法错误,可以通过编译。
6、将所编写的无语法错误的程序下载至仿真软件,并进行调试,根据仿真结果,修改程
序。
六、实验程序
#include <reg51.h>
sbit K1=P3^4;
sbit K2=P3^5;
七、实验分析、心得。
数字逻辑电路与系统设计第6章习题及解答
![数字逻辑电路与系统设计第6章习题及解答](https://img.taocdn.com/s3/m/0822aad209a1284ac850ad02de80d4d8d05a015b.png)
第6章题解:6.1 试用4个带异步清零和置数输入端的负边沿触发型JK 触发器和门电路设计一个异步余3BCD 码计数器。
题6.1 解:余3BCD 码计数器计数规则为:0011→0100→…→1100→0011→…,由于采用异步清零和置数,故计数器应在1101时产生清零和置数信号,所设计的电路如图题解6.1所示。
CLK13图 题解6.1题6.2 试用中规模集成异步十进制计数器74290实现模48计数器。
题6.2 解:6.3 试用D 触发器和门电路设计一个同步4位格雷码计数器。
题6.3 解:根据格雷码计数规则,Q 3 Q 2Q 1 Q 0计数器的状态方程和驱动方程为:1333031210122202131011110320320100321321321321n n n n n n n nn n n n n n n n n n n n n n n n n n n n n n n n n n n n n n Q D Q Q Q Q Q Q Q Q D Q Q Q Q Q Q Q QD Q Q Q Q Q Q Q QQ D Q Q Q Q Q Q Q Q Q Q Q Q ++++==++==++==++==+++按方程画出电路图即可,图略。
题 6.4 解:反馈值为1010。
十一进制计数器6.5 试用4位同步二进制计数器74163实现十二进制计数器。
74163功能表如表6.4所示。
题 6.5 解:可采取同步清零法实现。
电路如图题解6.5所示。
题 6.6 解: 当M=1时:六进制计数器 当M=0时:八进制计数器图题解6.5图题解6.56.7 试用4位同步二进制计数器74163和门电路设计一个编码可控计数器,当输入控制变量M=0时,电路为8421BCD 码十进制计数器,M=1时电路为5421BCD 码十进制计数器,5421BCD 码计数器状态图如下图P6.7所示。
74163功能表如表6.4所示。
图 P 6.7Q 3Q 2Q 1Q 01010题6.7 解:实现8421BCD 码计数器,可采取同步清零法;5421BCD 码计数器可采取置数法实现,分析5421BCD 码计数规则可知,当21Q =时需置数,应置入的数为:32103000D D D D Q =。
四位数字的电子锁电路数电课程设计word精品
![四位数字的电子锁电路数电课程设计word精品](https://img.taocdn.com/s3/m/c17d02a3de80d4d8d15a4fcb.png)
四位数字的电子锁电路设计1四位数字的电子锁设计1.1电路原理系统框图1.2方案的比较1.2.1方案一总电路图:图2方案一总电路图图1电路原理框图原理说明:由数字开关与译码器输入密码,按键输入触发接成环形计数器的移位寄 存器,计数器记录密码输入个数来和控制各锁存器时钟端来使显示稳定,四位锁存 器时钟开关按下,74LS175存储密码,之后4个锁存器依次检测四个等于信号的输出, 若依次为一,则正确,否则错误。
计数器控制一次输入密码个数最多为四,超过则 错误。
密码比较使用74LS85四位数值比较器级联,只能依次输入正确密码触发74IS74 给信号输出正确密匙,输出结果驱动由传输门控制密码子正确是的开锁显示,正确 密码则led 灯亮解锁,反之蜂鸣器发出警报.1.1.2方案二总原理图:原理说明:如上电路图所示,由数字开关与译码器输入密码,分配器和锁存器分配 数据显示在数码管上,计数器记录密码输入个数来控制数据分配和控制各锁存器时 钟端来使显示稳定,四位锁存器时钟开关按下,74LS175存储当前,密码比较使用图3方案二的总电路图74LS85四位数值比较器级联,输出结果驱动由传输门控制密码子正确是的开锁显示, 以及密码错误的蜂鸣器报警。
1.3方案的选择两个方案相同之处:使用了编码器及反相器作为密码输入部分,用与非门进行电路输入错误信号是的封锁,密码检测部分都用了74IS85比较器用来对二次密码的检测和比较。
两方案制作都需要一定量芯片,制作成本较高;不同之处:方案一具备完备功能,满足实验全部要求,线路中用了网络标号做线路连接,使电路图简单美观,输入部分用了移位寄存器对输入信号移位已输入四位密码;方案二初步功能虽具备,但电路封锁后仍可改变末尾密码,有弊端,且整个电路图接线复杂,难制作出实物,用的是数据分配器对输入密码进行分配,74IS175对信号进行多次储存,计算复杂。
综合比较两方案,选择方案一为佳。
2整体设计方案的分析2.1输入单元电路电路图示:图4输入单元电路图原理说明:信号输入数J1开关,经74IS147编码器及反相器向储存器传输转化为二进制数,同时有方向加载个八位与非门及74IS194移位寄存器进行封锁及移位,74IS161计数器计数对数字输入信号进行计数,开关space对寄存器预置数,初始值为1000,开关w打开切断寄存器清除端低电平,输出低电平,不进行数字移位而由检测装置移位检测。
数字逻辑电路与系统设计[蒋立平主编][习题解答]【甄选文档】
![数字逻辑电路与系统设计[蒋立平主编][习题解答]【甄选文档】](https://img.taocdn.com/s3/m/e8e76fe0844769eae109ed24.png)
数字逻辑电路与系统设计[蒋立平主编][习题解答]第4章习题及解答4.1 用门电路设计一个4线—2线二进制优先编码器。
编码器输入为3210A A A A ,3A 优先级最高,0A 优先级最低,输入信号低电平有效。
输出为10Y Y ,反码输出。
电路要求加一G 输出端,以指示最低优先级信号0A 输入有效。
题4.1 解:根据题意,可列出真值表,求表达式,画出电路图。
其真值表、表达式和电路图如图题解4.1所示。
由真值表可知3210G A AA A =。
(a)0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 0 1 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 10000000000000000000000000010100011111010110000103A 2A 1A 0A 1Y 0Y G真值表1Y 3A 2A 1A 0Y GA 00 01 11 100010001111000000001101113A 2A 1A 0A 03231Y A A A A =+00 01 11 1000000011110001000011103A 2A 1A 0A 132Y A A =(b) 求输出表达式(c) 编码器电路图图 题解4.14.3 试用3线—8线译码器74138扩展为5线—32线译码器。
译码器74138逻辑符号如图4.16(a )所示。
题4.3 解:5线—32线译码器电路如图题解4.3所示。
ENA 0A 1A 2A 3A 4图 题解4.34.5写出图P4.5所示电路输出1F 和2F 的最简逻辑表达式。
译码器74138功能表如表4.6所示。
&01234567BIN/OCTEN &CB A 421&F 1F 2174138图 P4.5题4.5解:由题图可得:12(,,)(0,2,4,6)(,,)(1,3,5,7)F C B A m A F C B A m A====∑∑4.7 试用一片4线—16线译码器74154和与非门设计能将8421BCD 码转换为格雷码的代码转换器。
4位计数器逻辑电路
![4位计数器逻辑电路](https://img.taocdn.com/s3/m/c05b2ca4534de518964bcf84b9d528ea81c72f96.png)
4位计数器逻辑电路以下是四位计数器逻辑电路的一个常见设计:四位计数器是一个用于计数和显示0到15之间的数值的电路。
它由四个单独的计数器组成,每个计数器负责计数一个十六进制位。
设计的逻辑电路包括以下组件:1.时钟源:提供稳定的时钟信号,用于驱动计数器计数。
可以使用一个时钟发生器或外部时钟源。
2.4个D触发器:每个触发器都负责存储一个十六进制位的计数值。
D触发器接收时钟信号和使能信号,根据时钟信号的上升沿更新和存储计数值。
3.4-1多路复用器(MUX):用于选择并显示当前计数值的四个十六进制位。
多路复用器的输入连接到每个D触发器的输出,根据计数器的使能信号选择一个输出作为显示。
4.七段数码管(或其他显示设备):用于显示选中的计数值。
根据控制信号,对应的段会被点亮,显示相应的十六进制数。
工作原理如下:1.初始状态为0。
所有D触发器的输入和使能信号接收到适当的值。
2.当时钟信号的上升沿到达时,D触发器根据当前输入状态更新其输出状态。
3.计数器使能信号决定了计数器是否开始计数。
如果使能信号为高电平,则触发器开始计数;如果为低电平,则保持当前计数值不变。
4.当计数器达到15(或其他最大计数值)时,触发器的输出将被重置为0,并从头开始重新计数。
5.通过多路复用器的选择信号,将当前计数器的输出连接到对应的数码管,显示当前计数值。
这是一个简单的四位计数器的逻辑电路,可以根据特定需求进行扩展和定制。
实际设计中可能会有其他的功能和控制信号,但以上是一个基本的工作原理示例。
数字逻辑4位二进制加法计数器的设计
![数字逻辑4位二进制加法计数器的设计](https://img.taocdn.com/s3/m/70698010c1c708a1284a44d2.png)
实验4:4位二进制加法计数器的设计
实验者:
地点:宿舍
时间:
硬件:PC 主要软件: Quartu s Ⅱ 9.1
4.1 实验目的
通过实验加深对计数器工作原理的理解,掌握实际工程中采用的基本设计方法。
4.2 实验内容
(1)在Quarturs Ⅱ9.1设计环境下,用J-K 触发器设计一个4位二进制同步加法计数器,并进行仿真。
(2)改用标准参数化模块(LPM)功能库lpm_counter 模块实现同一功能。
4.3 原理提示
计数器: 对CLK 脉冲(称为“计数脉冲”)进行计数,计数值一般就是电路的状态值。
计数器的框图如图4-1所示。
CLK : 计数脉冲。
每来一个CLK 脉冲,计数值加1(或减1)。
CLR : 计数值清零控制端。
当CLR 有效时,计数值被强制置0。
LOAD :置数控制端。
当LOAD 有效时,计数值被强制置为指定的值。
计数器
Q 3 Q 2 Q 1 Q 0 LOAD
CLR
CLK
图4-1 计数器框图。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
福建农林大学金山学院
课程设计报告
课程名称:数字逻辑
课程设计题目:用JK触发器和门电路设计一个4位
格雷码计数器
姓名:
系:
专业:
年级:
学号:
指导教师:
职称:
2011年6 月29 日
用JK触发器和门电路设计一个4位格雷码计数器
一、实验目的
1、用JK触发器和门电路设计一个4位格雷码计数器。
2、加强对格雷码的认识。
3、熟悉对JK触发器的使用。
4、利用仿真软件Multisim11.0对数字电路进行仿真和实现。
二、仿真软件Multisim介绍
(注:因为本课程设计用的是2011年的版本,所以对此进行简单的介绍)⑪仿真软件Multisim11.0:NI Multisim软件是一个专门用于电子电路仿真与设计的EDA工具软件。
作为 Windows 下运行的个人桌面电子设计工具,NI Multisim 是一个完整的集成化设计环境。
NI Multisim计算机仿真与虚拟仪器技术可以很好地解决理论教学与实际动手实验相脱节的这一问题。
学员可以很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来,并且可以用虚拟仪器技术创造出真正属于自己的仪表。
NI Multisim软件绝对是电子学教学的首选软件工具。
⑫特点:①直观的图形界面。
②丰富的元器件。
③强大的仿真能力。
④丰富的测试仪器。
⑤完备的分析手段。
⑥独特的射频(RF)模块。
⑦强大的MCU模块。
⑧完善的后处理。
⑨详细的报告。
⑩兼容性好的信息转换。
三、实验步骤(包括设计过程、仿真结果和结果分析)
⒈设计过程
② 按状态转换表的计数顺序可得****3210Q Q Q Q 的卡诺图:
从而分别得出*3Q 、*2Q 、*1Q 、*0Q 、C 的卡诺图:
③ 由卡诺图得出状态方程和输出方程:
*''''''''3313021021032103()()'Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q =++=+
|*''''''2212031031023102()()'Q Q Q Q Q Q QQ Q QQ Q Q QQ Q =++=+
*'''11032032023010321(()')(())'Q QQ Q Q Q Q Q Q Q Q Q Q Q Q Q Q =++=⊕+⊕
*'''''''032132132132132103210()'()'Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q =+++=⊕⊕+⊕⊕'''3210C Q Q Q Q =
④ 又JK 触发器的特性方程为:*''Q JQ K Q =+,所以可得驱动方程:
''3210J Q Q Q =,'''3210K Q Q Q =
''2310J Q QQ =,'2310K Q QQ =
1320()'J Q Q Q =⊕,1320()K Q Q Q =⊕ 0321()'J Q Q Q =⊕⊕,0321K Q Q Q =⊕⊕ ⑤ 由驱动方程可画出逻辑电路图:
⒉仿真结果
①原理图如下:
②部分波形图如下:⑴0000~1101
⑵1101~0011
③ 电路状态变化如下: ⑴32100000Q Q Q Q =,0C =
⑵32100001Q Q QQ =,
0C =
⑶32100011Q Q QQ =,
0C =
3210
⑸32100110Q Q Q Q =,0C =
⑹32100111Q Q QQ =,
0C =
3210
⑻32100100Q Q Q Q =,0C =
⑼32101100Q Q QQ =,
0C =
3210
⑾32101111Q Q QQ =,0C =
⑿32101110Q Q QQ =,0C =
3210
⒁32101011Q Q QQ =,0C =
⒂32101001Q Q QQ =,0C =
⒃32101000Q Q QQ =,1C =
⒊结果分析
当3Q 、2Q 、1Q 、0Q 从00001000 完
时,进位输出C 才为1,其他情况都为0,符合题意要求。
四、实验总结
通过这次课程设计,我进一步的学习了仿真软件Multisim 11.0的相关功能,对其里面的电子元件也有了更深入的了解。
在整个电路设计的过程中,我学习了格雷码的使用以及JK 触发器的知识,再一次复习了真值表和卡诺图的使用。
同时自身的分析问题能力和动手画图能力也得到了提高。