多功能电子钟设计报告
多功能电子时钟研究报告
XTAL2来自反向振荡放大当作为输入并被外部下拉为低电平时,它们将输出电流,这是因内部上拉的缘故。P1.0和P1.1需要外部上拉,可用作片内精确模拟比较器的正向输入(AIN0)和反向输入(AIN1),P1口输出缓冲器能接收20mA电流,并能直接驱动LED显示器;P1口引脚写入“1”后,可用作输入。在闪速编程与编程校验期间,P1口也可接收编码数据。
新颖数字钟由上而下的进行层次化的设计,所有功能都是围绕单片机芯片AT89C2051展开。从各模块入手,首先定义各个模块的结构然后再对各个模块内部进行详细的设计。在设计过各个模块完成之后都要进行仿真调试,在仿真调试通过之后再对各个模块进行模块的组合兼容性调试。之后对整个工程文件进行整机调试。新颖设计利用单片机代替先前的大量的复杂的门电路使电路功能更加全面,时钟更加准确,利用单片机实现数字钟便于功能的扩展和系统升级,该数字钟能长期、连续、可靠、稳定的工作;同时还具有体积小、功耗低等特点,便于携带,使用方便,是一种新型的产品。新颖数字钟用四位数码管显示时、分的时钟。图中左边靠近电源的绿色发光二极管(长亮)是电源指示灯,表示电源工作;左下角红色发光二极管(秒灯)闪烁一次表示走动1秒钟;正上方绿色发光二极管是设置灯,当正常走动时此时不亮,当第一次按下设置键时,此绿灯亮,同时秒时熄灭,且分钟的两位数码管闪烁,停止走动,进入校时状态,此时可以进行分钟的调整,当按一次加一键(左键)可实现分钟的加1功能,达到60分时返回0,从0再重新算起;如果再次按下设置键时,若秒灯和设置灯仍旧保持熄灭和点亮状态,表示分钟的数码管停止闪烁,反过来表示小时的两位数码管则开始闪烁,此时可进行小时的调整,按加1键可实现时调整功能,到达24小时返回0;当第三次按下设置键数码管停止闪烁,设置灯熄灭,秒灯重新闪烁,以设定值计时。
多功能数字钟毕业设计报告
多功能数字钟毕业设计报告第一章多功能数字钟的概述1.1应用背景21世纪,我们将进入信息时代,在新技术和市场需求的共同作用下电子技术及其产业必将有高速的发展;电子技术分为数电电路和模拟电路,数电研究数字信号,比如像逻辑门等等,模拟电路主要讲的是各种功率放大电路等。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。
数字钟适用于自动打铃、自动广播,也适用于节电、节水及自动控制多路电器设备。
它是由数子钟电路、定时电路、放大执行电路、电源电路组成。
为了简化电路结构,数字钟电路与定时电路之间的连接采用直接译码技术。
具有电路结构简单、动作可靠、使用寿命长、更改设定时间容易、制造成本低等优点。
数字钟的技术实现时、分、秒计时的钟表。
与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,已得到广泛的使用。
数字钟的设计方法有许多种,例如可用中小规模集成电路组成电子钟,也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟,还可以利用单片机来实现电子钟等等。
这些方法都各有其特点,其中利用单片机实现的电子钟具有编程灵活,以便于功能的扩展。
数字钟广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。
诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。
因此,研究数字钟及扩大其应用,有着非常现实的意义,深受人们欢迎。
因此,我们此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.1.2数字钟的功能近年来随着计算机在社会领域的渗透和大规模集成电路的发展,数字钟的应用越来越普及了,并且由于它具有功能强,体积小,功耗低,价格便宜,工作可靠,使用方便等特点,使数字钟的技术在电子和一些自动化行业中应用也越来越广泛了。
多功能数字钟的设计报告
多功能数字钟的设计报告目录1.实验目的 (2)2.实验题目描述和要求 (2)3.设计报告内容 (2)3.1实验名称 (2)3.2实验目的 (2)3.3实验器材及主要器件 (2)3.4数字钟基本原理和电路设计 (3)3.5数字电子钟单元电路设计、参数计算和器件选择..............................3-8 3.6数字电子钟电路图 (9)3.7数字电子钟的组装与调试 (9)4.实验结论 (9)5.实验心得 (10)参考文献 (10)1.实验目的※掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;※进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;※提高电路布局﹑布线及检查和排除故障的能力;※培养书写综合实验报告的能力。
2. 实验题目描述和要求1、基本要求(1)能进行正常的时,分,秒计时的功能,分别由6个数码管显示24小时、60分钟、60秒钟的计数显示。
(2)、具有清零功能。
(3)、具有开、关功能。
2、发挥部分(1)能实现“校时”“校分”功能:当按下“SA”“校时”键时,计时器迅速递增,并按24小时循环,计满23小时后返回00;按下“SB”“校分”键时,计分器迅速递增,并按60分钟循环,计满59分钟后返回00;但不向“时”进位。
(2)能利用扬声器做整点报时:整点自动报时。
(参考:在离整点10s内,便自动发出鸣叫声,步长1s,每隔1s鸣叫一次,前四响是低音,最后一响为高音,最后一响结束为整点,报时频率可定为1KHz。
)能进行整点报时:当计时到达59分50秒后,每隔2秒钟发出一次低音“嘟”信号(其声音频率为500HZ),连续5次到达整点,发出一次高音“嘀”信号(其声音频率为1000HZ)3、对电路进行逻辑功能仿真。
3.设计报告内容3.1实验名称: 数字电子钟3.2实验目的·掌握数字电子钟的设计、组装与调试方法;·熟悉集成电路的使用方法。
多功能电子时钟实训报告
一、实训目的本次实训旨在通过学习单片机技术,设计并实现一个基于单片机的多功能电子时钟系统。
通过实训,使学生掌握以下知识和技能:1. 熟悉单片机的基本原理和编程方法;2. 掌握电子时钟系统的硬件设计、软件编程和调试方法;3. 提高动手能力和实际应用能力。
二、实训内容1. 系统硬件设计(1)核心控制器:选用AT89C51单片机作为系统的核心控制器。
(2)时钟芯片:使用DS1302实时时钟芯片,提供精确的时间信号。
(3)液晶显示屏:选用1602液晶显示屏,用于显示时间、日期、温度等信息。
(4)按键模块:设计包含时间设置键、日期设置键、闹钟设置键等的按键模块。
(5)温度传感器:使用DS18B20温度传感器,用于检测环境温度。
(6)电源模块:为整个系统提供稳定的工作电压。
2. 系统软件设计(1)主程序:负责系统初始化、时钟显示、闹钟提醒、温度检测等功能。
(2)中断程序:负责时钟中断、闹钟中断、温度中断等。
(3)显示程序:负责液晶显示屏的显示内容更新。
(4)按键处理程序:负责按键扫描、按键消抖、按键功能处理等。
三、实训过程1. 硬件搭建(1)根据设计图纸,焊接电路板。
(2)连接单片机、时钟芯片、液晶显示屏、按键模块、温度传感器和电源模块。
(3)检查电路连接是否正确,确保系统硬件正常工作。
2. 软件编程(1)编写主程序、中断程序、显示程序和按键处理程序。
(2)使用C语言进行编程,并利用Keil软件进行编译。
(3)将编译好的程序烧录到单片机中。
3. 调试与优化(1)在Proteus仿真软件中,对系统进行仿真调试。
(2)检查程序运行是否正常,优化程序代码。
(3)对硬件电路进行调整,确保系统稳定运行。
四、实训结果1. 系统功能实现(1)显示当前时间、日期和温度。
(2)设置闹钟时间,并在设定时间响起。
(3)计时器功能,可以记录时间。
(4)温度检测功能,实时显示环境温度。
2. 系统稳定性通过仿真和实际测试,系统稳定运行,满足设计要求。
基于51单片机多功能电子时钟设计报告
多功能电子数字钟姓名 :学号 :班级 :指导教师:目录一课程设计题目-------------------------------- 3二电路设计--------------------------------------- 4三程序总体设计思路概述------------------- 5四各模块程序设计及流程图---------------- 6五程序及程序说明见附录------------------- **六课程设计心得及体会---------------------- 11七参考资料--------------------------------------- 12一题目及要求本次单片机课程设计在Proteus软件仿真平台下实现,完成电路设计连接,编程、调试,仿真出实验结果。
具体要如下:用8051单片机设计扩展6位数码管的静态或动态显示电路,再连接几个按键和一个蜂鸣器报警电路,设计出一个多功能电子钟,实现以下功能:(1)走时(能实现时分秒,年月日的计时)(2)显示(分屏切换显示时分秒和年月日,修改时能定位闪烁显示)(3)校时(能用按键修改和校准时钟)(4)定时报警(能定点报时)本次课程设计要求每个学生使用Proteus仿真软件独立设计制作出电路图、完成程序设计和系统仿真调试,验收时能操作演示。
最后验收检查结果,评定成绩分为:(1)完成“走时+显示+秒闪”功能 ----及格(2)完成“校时修改”功能----中等(3)完成“校时修改位闪”----良好(4)完成“定点报警”功能,且使用资源少----优秀二电路设计(电路设计图见附件电路图)(1)采用89C51型号单片机(2)采用8位共阴数码管(3)因为单片机输出高电平时输出的电流不足以驱动数码管,所以在P0口与8位数码管之间加74LS373来驱动数码管(4)P2口与数码管选择位直接加74LS138译码器(5)蜂鸣器接P3.7口。
因为单片机输出高电平时输出的电流不足以驱动蜂鸣器所以蜂鸣器,所以P3.7口与蜂鸣器直接接反相器再接蜂鸣器的一端,蜂鸣器的另一端接5V电源。
多功能电子钟毕业设计
多功能电子钟毕业设计本文主要介绍了一款多功能电子钟的设计方案,其中包括时钟、定时器、闹钟、日历、温度显示等多种功能。
通过硬件和软件的相结合,实现了这种多功能的电子钟,具有易操作、准确显示、功能多样等特点。
本设计可用于家庭、实验室、工作室等多种场合。
一、设计目标随着现代科技的发展,电子钟成为人们生活中不可缺少的一部分。
因此,本文设计了一款多功能电子钟,集时钟、定时器、闹钟、日历、温度显示等多种功能于一身,方便人们的日常生活。
二、设计原理该电子钟的各项功能均用单片机控制实现。
电子钟的控制部分是基于51单片机进行设计。
时钟的原理是通过一个晶振来控制芯片的工作频率,从而达到时钟的准确显示。
使用DS1302进行存储和控制时间。
定时器的原理是通过定时器中断进行实现,通过设定定时器的计数值即可实现定时器的功能。
闹钟的原理是通过设定一个“警报时间”来实现,当时间到达“警报时间”时,闹钟就会开始响铃。
日历的原理是通过读取DS1302中存储的日期信息进行实现。
温度显示的原理是通过使用DS18B20传感器实现对温度的检测。
三、硬件设计本设计的硬件主要由以下部分组成:显示部分、按键部分、计时器部分、DS1302时钟芯片、DS18B20温度传感器、单片机及其外设(如LCD12864液晶屏等)。
1.显示部分本设计采用LCD12864液晶屏进行显示。
2.按键部分本设计采用4个按键T1~T4,T1键用于切换时间制式;T2键用于设定时间和日期等;T3键用于设定闹钟;T4键用于定时器的设定。
3.计时器部分本设计采用计时器555进行固定时间的计时。
4. DS1302时钟芯片DS1302时钟芯片是一种用于实现实时时钟的芯片,本设计将其用于控制电子钟的时间。
5. DS18B20温度传感器DS18B20温度传感器是一种用于测量温度的芯片,本设计将其用于温度显示功能。
6. 单片机及其外设本设计采用AT89C52单片机进行控制,其外设包括LCD12864液晶屏、DS1302时钟芯片、DS18B20温度传感器等。
多功能数字电子钟_VHDL
四、各功能模块的源程序代码 :
-- CONTOR 模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity contor is
1 )“小时” 校时状态: 进入“小时”校时状态后,显示 “小时” 的数码管闪烁,每按动“ k” 键一次,“小时” +1,若不按动“ k”键 则小时数不变,一直按下“ k” 键则小时数一 4Hz 的频率递增计数。
2 )“分”校时状态:进入“分”校时状态后,显示“分”的数 码管闪烁,每按动“ k” 键一次,“分” +1,若不按动“ k”键则分数 不变,一直按下“ k” 键则分数一 4Hz的频率递增计数。
chs,cms,css,f4 :in std_logic; bsg,bmg,bhg,bsd,bmd,bhd :buffer std_logic_vector(3 downto 0); comout :out std_logic); end time_com; architecture time_comx of time_com is begin com:process(hh,mh,sh,hl,ml) begin if(bhg=hh and bhd=hl and bmg=mh and bmd=ml and bsg=sh)then comout<='1'; else comout<='0'; end if; end process; set:process(f4) begin if(f4'event and f4='1')then if(chs='1'and k='0')then if(bhg="0010" and bhd="0011")then bhd<="0000";bhg<="0000"; elsif(bhd="1001")then bhd<="0000";bhg<=bhg+1; elsif(bhd="0000"or bhd="0001" or bhd="0010"or bhd="0011"or bhd="0100"or bhd="0101"or bhd="0110"or bhd="0111"or bhd="1000")then bhd<=bhd+1; end if; end if; end if; end process; process(f4) begin if(f4'event and f4='1')then if(cms='1'and k='0')then if(bmg="0101" and bmd="1001")then
电子综合设计多功能数字钟报告
电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。
本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。
二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。
同时,使用按键作为输入进行功能的选择和设置。
2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。
将按键连接到FPGA的IO口,通过IO口输入按键的信号。
此外,还需要连接一个晶振电路来提供时钟信号。
3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。
具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。
通过按键的输入来切换不同的状态,实现不同功能的切换和设置。
4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。
(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。
(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。
(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。
以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。
多功能电子钟设计报告完整Word
电子技术综合训练设计报告题目:多功能电子钟设计毕业设计(论文)原创性声明和使用授权说明原创性声明本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。
尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。
对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。
作者签名:日期:指导教师签名:日期:使用授权说明本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。
作者签名:日期:学位论文原创性声明本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。
除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。
对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。
本人完全意识到本声明的法律后果由本人承担。
作者签名:日期:年月日学位论文版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。
本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。
涉密论文按学校规定处理。
作者签名:日期:年月日导师签名:日期:年月日注意事项1.设计(论文)的内容包括:1)封面(按教务处制定的标准封面格式制作)2)原创性声明3)中文摘要(300字左右)、关键词4)外文摘要、关键词5)目次页(附件不统一编入)6)论文主体部分:引言(或绪论)、正文、结论7)参考文献8)致谢9)附录(对论文支持必要时)2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。
多功能数字电子钟设计报告
多功能数字电子钟设计报告本文将介绍一个多功能数字电子钟的设计报告。
这个钟具有多种功能,可以显示时间,日期,室内温度和湿度,还可以设置闹钟。
这个钟被设计成简单易用,具有时尚外观和实用性。
硬件设计这个数字电子钟由以下主要部件组成:1. 微控制器:使用STM32F103微控制器进行控制和处理2. 显示屏:采用高清彩色TFT屏幕,尺寸为3.5英寸3. 传感器:使用DHT11温湿度传感器,可以实时监测室内的温度和湿度4. 时钟模块:使用DS1302 RTC(实时时钟)模块确保精准的时间显示5. 按键:包括上、下、左、右、确定和返回六个按键,方便用户设置和控制软件设计这个数字电子钟的软件设计采用了嵌入式设计的方法,代码分为三个主要部分:1. 时钟控制:这个数字电子钟确保了精准的时间显示,使用DS1302 RTC模块,可以确保时钟精度误差不超过±2秒/天。
时钟控制部分还包括时钟校准和闹钟设置。
2. 屏幕控制:这个数字电子钟使用3.5英寸TFT高清彩色屏幕,可以实现时钟、日期、温湿度和闹钟的显示。
屏幕控制部分可以显示多种信息,具有时尚的外观和设计。
3. 传感器控制:使用DHT11温湿度传感器监测室内环境。
传感器控制部分可以实现实时监测温度和湿度,并在屏幕上显示当前的室内温度和湿度。
功能设计这个数字电子钟具有以下主要功能:1. 时间显示:可以精准的显示当前的时间,包括小时、分钟和秒钟。
2. 日期显示:可以显示当前的日期,包括月份、日期和星期几。
3. 温湿度监测:可以实时监测室内的温度和湿度,并在屏幕上显示当前的数值。
4. 闹钟设置:可以设置多个闹钟,并在设定的时间开始响铃。
闹钟响铃时可以选择静音或自动关闭。
5. 操作简便:采用方便简单的按键操作设计,方便用户使用。
总结这个数字电子钟设计具有多种功能,采用了高清彩色TFT 屏幕,集精准时间、日期信息、温湿度信息便利的闹钟设置于一身,是一款可以满足日常生活需求的设计。
多功能电子钟毕业设计
多功能电子钟毕业设计多功能电子钟毕业设计随着科技的发展,电子产品在我们的生活中扮演着越来越重要的角色。
电子钟作为一种常见的家居电子产品,已经成为人们生活中不可或缺的一部分。
然而,目前市面上的电子钟功能单一,只能显示时间,无法满足人们对多样化功能的需求。
因此,我决定以多功能电子钟为毕业设计的主题,设计一款集多种功能于一体的电子钟。
首先,我计划在电子钟上增加一个温度显示功能。
这样,人们可以方便地了解当前的室内温度,无需额外的温度计。
这对于那些需要时刻掌握室内温度的人来说,将是一个非常实用的功能。
其次,我打算在电子钟上加入一个闹钟功能。
这个功能将允许用户设定多个闹钟,以满足不同时间的提醒需求。
而且,我还计划设计一个智能闹钟功能,可以根据用户的睡眠状态智能调整闹钟的响铃时间,以确保用户在最佳时机醒来,避免睡眠中断。
另外,我还想在电子钟上加入一个音乐播放功能。
这样,用户可以通过连接手机或其他音乐设备,将喜欢的音乐通过电子钟播放出来。
同时,我还将设计一个定时关闭音乐的功能,以免用户忘记关闭音乐而影响休息。
除了以上功能,我还计划在电子钟上增加一个倒计时功能。
这个功能可以帮助用户倒计时特定的时间段,比如做饭、运动等。
用户只需设置倒计时的时间,电子钟将会进行倒计时,并在时间结束时发出提醒。
另外,我还打算在电子钟上加入一个日历功能。
用户可以通过电子钟查看当前日期,并设置提醒事项。
这样,用户不仅可以方便地了解日期,还可以及时提醒自己重要的事项,提高工作效率。
最后,我还计划在电子钟上加入一个天气预报功能。
通过连接互联网,电子钟可以获取实时的天气信息,并显示在屏幕上。
这样,用户可以方便地了解当天的天气情况,做好相应的准备。
综上所述,我将设计一款集温度显示、闹钟、音乐播放、倒计时、日历和天气预报等多种功能于一体的电子钟。
这款电子钟将为用户提供更多的便利和实用性,满足人们对多样化功能的需求。
我相信,通过这个毕业设计,我将能够提高自己的设计能力,并为人们的生活带来更多的便利和乐趣。
多功能数字钟的设计报告
多功能数字钟的设计报告
一、简介
本项目为一款多功能数字钟,考虑到时代的发展,利用计算机技术和
电子工艺,设计出一款全新的数字钟,它可以满足日常生活中的不同需求。
二、功能
1、设置时间和日期的功能。
2、支持闹钟功能,可以设置多个闹钟,每天自动响铃提醒。
3、支持倒计时功能,用户可以设置倒计时的时间,可以实现计时功能。
4、支持自定义界面功能,用户可以根据自己的喜好来设置数字钟的
界面和背景图案。
5、钟表外观强大,具有耐用、耐磨、耐高温等特点,能够满足不同
应用环境的要求。
三、硬件系统
本项目采用先进的微控制芯片技术,设计制造的多功能数字钟由主控
单元、传感器、触摸控制板、显示屏、外壳等组成。
1、主控单元:采用先进的微控制器进行控制,并结合定时器、中断
处理模块进行时间控制,主控单元负责接收传感器信号,控制显示屏信息,实现时钟的功能。
2、传感器:采用光电传感器、按键传感器等,可以有效地接收外部
信号,实现对外部信号的检测。
3、触控板:采用触摸传感技术,可以有效地实现用户对时钟的操作,例如调节时间、设置闹钟等。
多功能电子钟设计报告
单片机课程设计报告班级:学号:姓名:专业:学院:多功能电子时钟设计一、设计任务1、基本任务:利用定时器/计数器中断和静态显示或动态显示,实现电子钟的时分秒精确走时和校准。
时间显示用四个数码管分别显示时、分,秒用点表示,在时和分的中间闪动。
时间校准用2个键实现:一个键K1做选择(选中要修改的位,选中的位用闪烁指示),一个键K2做加1键(对选中的位要加1修改)。
2、功能增强型任务:在基本任务的基础上加日历功能,能实现时、分、秒和月、日计时,分两屏显示。
二、设计要求1、基本任务要求:(1)时间走时准确,每天误差不能超过3秒。
(2)仿照电子表的校时功能,校时修改时,被修改为能闪烁显示,按键要灵敏。
(3)若最高位为0,高位要能灭零显示。
三、硬件设计1、按键的设计按键K1、K2、K3、K4分别连接单片机芯片的P1.4、P1.5、P1.6、P1.7引脚,K1为时间与日期的切换显示键,K2为时间校正键,K3为加1键,K4为确定键。
2、定时器的设计定时器采用T0,T0的工作方式为方式1,定时器初值=216—62500=3036,化为十六进制即为0BDC,所以定时器T0由3036计到65536所需的时间为1/16s。
3、显示电路的设计本设计采用串行口静态显示方式,数据由RXD(P3.0)口送到74LS164中,4段数码管和4片74LS164相串联,TXD(P3.1)口作为移位脉冲,连接74LS164的第8引脚。
四、资源分配1/16计数单元:2FH月单元:30H日单元:31H时单元;:32H分单元:33H秒单元:34H校正状态标志位:20H.1 时间日期标志位:20H.0 校正指针单元:21H 五、流程图六、程序代码ORG 0000HSJMP MAINORG 000BHLJMP ITOPORG 0030HMAIN: MOV 50H,#0FFH ;按键信息初始化为未按键状态MOV 30H,#1 ;月单元MOV 31H,#1 ;日单元MOV 32H,#0 ;时单元MOV 33H,#0 ;分单元MOV 34H,#0 ;秒单元MOV 2FH,#16 ;2FH为十六分之一秒计数单元CLR 20H.1 ;校正状态标志清零,为正常走时状态SETB 20H.0 ;时间/日期标志置1MOV TMOD,#01H ;置T0工作于方式1MOV TH0,#0BH ;采用12M晶振,定时十六分之一秒MOV TL0,#0DCH ;装载定时器初值MOV IE,#82H ; 允许中断SETB TR0 ;启动定时器SJMP $ITOP: PUSH PSW ;现场保护PUSH ACCMOV TH0,#0BHMOV TL0,#0DCHDJNZ 2FH,KEY ;计数开始MOV 2FH,#16 ;十六分之一秒计数单元MOV A,34HADD A,#01H ;在0~9之间,加01HDA A ;进行十进制调整MOV 34H,A ;送到秒计数单元CJNE A,#60H,KEY ;未到六十秒转移到KEY,到了则顺序往下执行MOV 34H,#00H ;秒单元清零MOV A,33H ;分单元加1,并做十进制调整ADD A,#01HDA AMOV 33H,A ;送到分计数单元CJNE A,#60H,KEY ;未到六十分转移到KEYMOV 33H,#00H ;分单元清零MOV A,32H ;时单元加1,并做十进制调整ADD A,#01HDA AMOV 32H,A ;送到时单元CJNE A,#24H,KEY ;未到二十四小时转移,到了则顺序往下执行MOV 32H,#00H ;时单元清零MOV A,31H ;日单元加1,并做十进制调整ADD A,#01HDA AMOV 31H,A ;送到日单元CJNE A,#31H,KEY ;未到三十一天转移MOV 31H,#01H ;日单元变为一MOV A,30H ;月单元加1,并做十进制调整ADD A,#01HDA AMOV 30H,A ;送到月单元CJNE A,#12H,KEY ;未到十二个月转移MOV 30H,#01H ;月单元变为一KEY: JNB 20H.1,ZOUSHI ;判断是否校正状态,不是,则转非校正状态LJMP JIAOSHI ;是,则转为校正状态ZOUSHI: MOV A,P1CJNE A,50H,KEY1 ;判断是否与上次按键相同,不相同则转移到KEYLJMP NONE1 ;相同则不断判键KEY1: MOV 50H,A ;保存上次按键信息JNB ACC.4,SWITCH1 ;是否按下切换键JNB ACC.5,SET1 ;是否为校正键LJMP NONE1 ;没按与无效键均不作判断SWITCH1:CPL 20H.0 ;时间与日期的转换NONE1: JNB 20H.0,DATE1 ;判断日期与时间的标志MOV 3BH,32H ;将时间送到显示缓冲区MOV 3AH,33HLJMP DISPDA TE1: MOV 3BH,30H ;将日期送到显示缓冲区MOV 3AH,31HLJMP DISPSET1: SETB 20H.1 ;切换到校正标志MOV 21H,#0 ;校正指针并请零CLR 20H.0 ;选择日期标志MOV 3BH,30H ;将日期送到显示缓冲区MOV 3AH,31HLJMP DISPJIAOSHI:MOV A,P1CJNE A,50H,KEY2 ;判断是否与上次按键相同LJMP NONE2 ;若相同则不能判断按键KEY2: MOV 50H,A ;保存上次按键信息JNB ACC.5,SET2 ;是否为校正键JNB ACC.6,ADD2 ;是否为加键JNB ACC.7,SURE ;是否为确定键LJMP NONE2 ;空操作SET2: INC 21H ;校正指针并自动加1ANL 21H,#03HSJMP NONE2ADD2: MOV A,#30H ;指向需要校正的指针ADD A,21HMOV R0,AMOV A,@R0 ;需要校正的指针并自动加1ADD A,#1DA AMOV @R0,ACJNE R0,#30H,DATE ;判断月是否校正完成,校正完成跳转日CJNE @R0,#13H,NONE2MOV @R0,#1SJMP NONE2DA TE: CJNE R0,#31H,HOUR ;判断日是否校正完成,完成则跳转到时CJNE @R0,#32H,NONE2MOV @R0,#1SJMP NONE2HOUR: CJNE R0,#32H,MIN ;判断时是否校正完成,完成则跳转到分CJNE @R0,#24H,NONE2MOV @R0,#0SJMP NONE2MIN: CJNE @R0,#60H,NONE2 ;校正分MOV @R0,#0SJMP NONE2SURE: CLR 20H.1 ;校时完成跳转到走时标志SJMP TIME2NONE2: JNB 21H.1,DATE2 ;判断送到显示缓冲区的是时间还是日期TIME2: SETB 20H.0 ;时间/日期标志置1,为显示时间状态MOV 3BH,32H ;时间送到显示缓冲区MOV 3AH,33HLJMP DISPDA TE2: CLR 20H.0 ;时间/日期标志位清零,为显示日期状态MOV 3BH,30H ;日期送到显示缓冲区MOV 3AH,31HDISP: MOV A,3BH ;显示缓冲区中的内容分解为四位BCD码依次放入43H~40H 单元ANL A,#0F0HSW AP AMOV 43H,AMOV A,3BHANL A,#0FHMOV 42H,AMOV A,3AHANL A,#0F0HSW AP AMOV 41H,AMOV A,3AHANL A,#0FHMOV 40H,AJNB 20H.1,DISP1 ;不是校正状态,正常显示,否则校正状态下,闪烁显示JNB 2FH.3,DISP1 ;若2FH.3为0正常显示,若2FH.3为1,校正内容对应的BCD 码单元送熄灭码JNB 21H.0,N1 ;从校正指针判断送熄灭码的单元,为0高两位传送,为1低两位传送MOV 40H,#0AHMOV 41H,#0AHSJMP DISP1N1: MOV 42H,#0AHMOV 43H,#0AHLJMP DISP1DISP1: MOV DPTR,#TAB ;指向表单MOV A,43H ;查最高位MOVC A,@A+DPTRCJNE A,#09H,NEXT1 ;判断最高位是否为0MOV A,#0FFH ;为0 则给熄灭码NEXT1: MOV SBUF,A ;传送到数码管上,串行静态显示JNB TI,$CLR TIMOV A,42H ;查第二位MOVC A,@A+DPTRMOV C,2FH.3 ;判断并改变小数点,并判断半秒亮半秒灭ANL C,20H.0MOV ACC.0,CMOV SBUF,A ;传送到数码管上JNB TI,$ ;等待传输完毕CLR TI ;允许继续传输MOV A,41H ;查第三位MOVC A,@A+DPTR ;查显示数据对应段码JB 20H.0,NEXT2 ;判断时间/日期标志CJNE A,#09H,NEXT2 ; 日期灭0,时间状太不灭0MOV A,#0FFHNEXT2: MOV SBUF,AJNB TI,$CLR TIMOV A,40H ;查第四位MOVC A,@A+DPTRMOV SBUF,AJNB TI,$CLR TIPOP ACC ;现场恢复POP PSWRETITAB: DB 09H,7DH,07H,15H,71H,91H,81H,3DH,01H,11H,0FFHEND七、总结通过维持两天的课程设计,我对单片机有了更深的理解,以前做一些简单的实验还能自己编写代码,然后独立完成实验,但现在做个复杂点的设计,还是觉得有些难度的,不过思路还是有点的,在自己尝试着编写程序时,遇到的困难还是挺多的,特别是在写校时和显示的程序写到一半就写不下了,最后不得不参考别人的程序!有时候自己觉得刚开始不会写程序不要紧,能看懂别人写的程序还是挺重要的,因为程序看多了,有一定的积累,到最后自己写程序还是没问题的。
多功能电子钟设计报告
电子技术综合训练设计报告题目:多功能电子钟设计姓名:蔡冰倩学号:10230625班级:控制工程基地一班同组成员:吴博指导教师:杨新华日期:2012年1月1号摘要通过CPLD/FPGA可编程逻辑器件实现多功能电子钟。
电子钟的主要功能有计时功能、校正功能、整点报时功能及定时闹叫功能。
针对功能要求利用硬件描述语言设计程序,通过Quartus软件进行仿真分析,将程序下载到电路中,观察数码管可知实现其逻辑功能。
利用可编程逻辑器件可简化电路,实现功能的多样性。
关键字:多功能电子钟硬件描述语言可编程逻辑器件目录1 设计任务和要求 (4)1.1设计任务 (4)1.2设计要求 (4)2 系统设计 (5)2.1系统要求 (5)2.2方案设计 (6)2.3系统工作原理 (6)3 电子钟功能模块及仿真 (7)3.1分频模块及其仿真 (7)3.2计时模块及其仿真 (9)3.3定时模块及其仿真 (11)3.4按键消抖模块及其仿真 (14)3.5译码显示模块及其仿真 (15)3.6例化模块 (18)4 元件的选择 (44)5 电路安装、调试与测试 (45)5.1电路安装 (45)5.2电路调试 (46)5.3系统功能及性能测试 (46)5.3.1测试方法设计 (46)5.3.2测试结果及分析 (47)6 结论 (48)7 参考文献 (48)8 总结、体会和建议 (49)附录:电路原理图 (50)元器件清单 (53)1设计任务及要求1.1设计任务设计任务是进行设计的基础,根据对设计任务的分析和理解进行设计电路及相应元器件的选择,从而进行电路仿真和调试。
所以对设计任务的理解和分析是进行设计的关键。
本设计的设计任务为:利用CPLD/FPGA设计一个多功能电子钟。
数字电子钟是一种用数字显示秒、分、时的计时装置,由于十足集成电路技术的发展,使数字钟走时准确、多功能化且性能稳定等优点。
利用CPLD/FPGA 设计一个可实现计时、校时、整点报时、定时闹叫多功能的电子钟,需要分两步走。
多功能数字电子钟设计报告
////////本科生课程设计《数字电子技术》课程设计设计题目:多功能数字电子钟设计专业:电子信息科学与技术班级: 2009级学生姓名: ///// 学号: 09214029 起止日期: 2011.4.25—2011.6.10 指导教师: ///////(副教授)2011年6月多功能数字电子钟设计/////(////// 电子信息工程学院海南三亚 572022)摘要:设计一个多功能数字电子钟,其中包括校时电路、显示电路、记数电路、译码电路等。
用555定时器产生1KHz的频率信号,再用74LS160制成两个十分频一个五分频的计数器,一个D触发器做成二分频计数器,最后产生1Hz的脉冲信号。
用四片74LS160计数器完成分秒的六十进制,同时时计数器应为二十四进制计数器,采用二片74LS160集成电路利用置零法来实现。
关键词:数字钟;计数器;课程设计Multi-function digital electric clock design//////( College of Electronics and Information Engineering , /////////University, Sanya Hainan 572022, China)Abstract: Design a multi-function digital electric clock, including when the circuit, show circuit, counting circuit, decoder circuit, etc. In 555 the timer produce 1 KHz frequency signals, then LS160 with 74 made two very frequency a five points of frequency, a D flip-flop counter made frequency counter, produce the dichotomy of 1 Hz ac pulse signal. With four pieces of 74 LS160 counter the clock finish six decimal, at the same time counter should be made when 24 into by using two counter, a 74 LS160 integrated circuits using zeros method to implement.Keywords: A digital clock; Counter; Course design1 设计要求a 准确及时,以数字形式显示时、分、秒的时间。
多功能电子钟设计实验报告
GAL16V8 做 编 码器,整合入校 时电路
报
显示模块
整
点
时
数
记 数 模
块
时 钟 发 生 器
图 1:多功能数字钟整体设计
第 4 页 共 17 页
多功能电子钟设计实验报告.doc
时钟发生模块
频率振荡器(555 及外部电路):电路参数及引脚都标示在图中,由于以前做过相似电 路,在此不再赘述。
vcc
10K 欧
4. 时间计数模块…………………………………………………………….8
5. 校时模块………………………………………………………………….10
6. 扩展功能:
闹时电路………………………………………………………………. 10
仿电台报时电路………………………………………………………. 11
报整点时数电路……………………………………………………… 12
第 2 页 共 17 页
多功能电子钟设计实验报告.doc
多功能数字钟整体设计
模块名 时间显示模块
时间计数模块 校时模块 频率发生模块 扩展功能
子模块
秒六十翻一计数 分六十翻一计数 十二翻一计数
频率发生器 分频电路 闹时电路 仿电台报时电路
功能描述
完成时间的显示
秒计数 分计数
小时计数
实现电路的慢校 时 振荡发生 1KHz 的频率 将 1KHz 频率逐 步分频直至产生 1Hz 的频率 实现 7:30 报时 实现 4 高 1 低的 报时
DECLARATIONS
"INPUT PIN
CLK,HG2,HG1,HG0,CP1K PIN 1,2,3,4,5; !OE,MS2,MS1,MS0,MG3,MG2,MG1,MG0 PIN ISTYPE'REG';
多功能电子钟课程设计报告
课题:多功能电子钟设计一、实验目的及要求:1.利用定时器0的定时中断和动态显示,实现电子钟的走时及校正。
2.时间及日期显示实验台上的四个数码管分别显示时.分及显时的低位的右下脚的点闪烁表示秒的显示和月、日。
显示格式如下:⑴.时间显示,前两格显示时而第二格有下脚的小点作秒显示。
(闪烁状态)。
后两格显示分钟。
⑵.日期显示,前两格显示而与秒显示的相对点不闪烁停于常亮状态表示月与日的相隔点,后两格表示日的显示。
3.时间走时准确,每天误差不超过5秒。
4.仿照电子表的校时功能,校时(日期)修改时,被改位能闪烁显示以表示正被修改。
5.若高位为0,则高位可灭零显示。
二、实验效果1.常态以时间显示2.编程使该电子钟有4个键,分别为校正键,“十”键,切换键及显示键。
3.正常显示的状态下,“+”键和显示键无效,校正状态下切换键无效。
4.四个键的作用分别为:①校正键,在正常的状态下,第一次按下校正键表示校正月发功能,此时显示当日的日期及月显示闪烁。
第二次按下此键表示校日的功能,显示当前日期并且日闪烁显示。
第三次按下此键表示校时的功能,此时显示当前时间并且时闪烁显示。
第四次按下校正键表示校分功能,显示当前时间并且分闪烁显示。
②“+”键,功能为当前校正的内容在每按一下此键的情况下不进位的在该闪烁的内容上加“1”。
③确定键,使校正的内容生效并且返回正常显示时间的状态下。
④切换键,表示切换当前显示的模式,如:当前显示的是时间,则按一下切换键则切换到日期的显示状态,反之亦成立。
如在按下切换键的状态下,5秒后没任何操作则返回到正常显示时间的状态。
三.实验思路(原理)由以上分析可知要使日期及时间显示出来,首先想到的是要将它们存放起来,然后再将其送往数码管显示出来,这里又牵涉到一个问题,怎样将它们存放起来又要存到哪儿去?这就要我们给它们分配存储单元以备送往显示,例如准备四个存储单元30H~33H分别存储月、日、时和分,送往显示时要为其准备显示缓冲存储区,如3AH、3BH,月、时送3AH缓存,日、分送3BH缓存备送显示,接下来就是相关方式初始化为内容显示作准备,其中包括时间/日期(T/D)标志的置位,校正标志的清除及定时期/计数期工作方式及初始化。
多功能数字钟设计实验报告
多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。
然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。
本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。
二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。
为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。
2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。
我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。
3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。
用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。
4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。
通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。
5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。
三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。
2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。
3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。
包括时间显示、日期显示、闹钟功能、温湿度显示等。
4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。
可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。
5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。
例如,优化显示效果、增加功能扩展等。
多功能六位电子钟设计报告
《模拟电子线路基础》 课程设计报告多动能六位电子钟专 业 应用电子班 级 10级电子三班 学生姓名 杨 振 指导教师 邹云峰、万行花 提交日期 2011年12月23日JINGCHU UNIVERSITY OF TECHNOLOGY目录第一部分设计任务1.1 设计题目及要求 (3)1.2 主体电路与各电路原理 (3)1.2.1 主体电路图 (3)1.2.2 显示电路原理 (4)1.2.3 键盘原理 (4)1.2.4 迅响电路及输入、输出电路原理 (5)1.2.5 单片机原理 (5)1.2.6 译码显示单元电路 (7)1.2.7 校时电路 (7)第二部分设计方案2.1总体设计方案说明 (8)2.2 功能使用说明 (9)2.3 模块结构与方框图 (9)2.4 复位电路 (10)2.5 时钟电路 (11)第三部分软件设计与调试3.1 软件任务与安装调试 (12)3.1.1 软件流程与任务 (12)3.1.2 安装与调试 (14)3.1.3 元件清单 (14)第四部分课程设计总结(心得体会) (15)第五部分参考文献 (16)模电课程设计3第一部分设计任务1.1设计题目及要求AT89C2051多功能六位电子钟设计制作一个多功能六位电子钟。
1、准时计时,一数字形式显示时、分、秒的时间;2、小时的计时要求为“12翻1”分和秒的计时要求为60秒进位;3、校正时间。
1.2主体电路逻辑图1.2.1主体电路图原理方框图如图(1):图(1)六位电子钟原理方框图1.2.2显示电路原理显示部分主要器件为3只两位一体共阳极数码管,驱动采用 PNP 型三极管驱动,各端口配有限流电阻,驱动方式为动态扫描,占用 P3.0~P3.5 端口,段码由P1.0~P1.6输出。
冒号部分采用 4 个Φ3.0的红色发光二极管,驱动方式为独立端口P1.7驱动。
共阳极LED数码管的内部结构原理图:图4-4-2 共阳极LED数码管的内部结构原理图LED数码管要正常显示,就要用驱动电路来驱动数码管的各个段码,从而显示出我们要的数位,因此根据LED数码管的驱动方式的不同,可以分为静态式和动态式两类。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电子技术综合训练设计报告题目:多功能电子钟设计毕业设计(论文)原创性声明和使用授权说明原创性声明本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。
尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。
对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。
作者签名:日期:指导教师签名:日期:使用授权说明本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。
作者签名:日期:学位论文原创性声明本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。
除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。
对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。
本人完全意识到本声明的法律后果由本人承担。
作者签名:日期:年月日学位论文版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。
本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。
涉密论文按学校规定处理。
作者签名:日期:年月日导师签名:日期:年月日注意事项1.设计(论文)的内容包括:1)封面(按教务处制定的标准封面格式制作)2)原创性声明3)中文摘要(300字左右)、关键词4)外文摘要、关键词5)目次页(附件不统一编入)6)论文主体部分:引言(或绪论)、正文、结论7)参考文献8)致谢9)附录(对论文支持必要时)2.论文字数要求:理工类设计(论文)正文字数不少于1万字(不包括图纸、程序清单等),文科类论文正文字数不少于1.2万字。
3.附件包括:任务书、开题报告、外文译文、译文原文(复印件)。
4.文字、图表要求:1)文字通顺,语言流畅,书写字迹工整,打印字体及大小符合要求,无错别字,不准请他人代写2)工程设计类题目的图纸,要求部分用尺规绘制,部分用计算机绘制,所有图纸应符合国家技术标准规范。
图表整洁,布局合理,文字注释必须使用工程字书写,不准用徒手画3)毕业论文须用A4单面打印,论文50页以上的双面打印4)图表应绘制于无格子的页面上5)软件工程类课题应有程序清单,并提供电子文档5.装订顺序1)设计(论文)2)附件:按照任务书、开题报告、外文译文、译文原文(复印件)次序装订摘要通过CPLD/FPGA可编程逻辑器件实现多功能电子钟。
电子钟的主要功能有计时功能、校正功能、整点报时功能及定时闹叫功能。
针对功能要求利用硬件描述语言设计程序,通过Quartus软件进行仿真分析,将程序下载到电路中,观察数码管可知实现其逻辑功能。
利用可编程逻辑器件可简化电路,实现功能的多样性。
关键字:多功能电子钟硬件描述语言可编程逻辑器件目录1 设计任务和要求 (4)1.1设计任务 (4)1.2设计要求 (4)2 系统设计 (5)2.1系统要求 (5)2.2方案设计 (6)2.3系统工作原理 (6)3 电子钟功能模块及仿真 (7)3.1分频模块及其仿真 (7)3.2计时模块及其仿真 (9)3.3定时模块及其仿真 (11)3.4按键消抖模块及其仿真 (14)3.5译码显示模块及其仿真 (15)3.6例化模块 (18)4 元件的选择 (44)5 电路安装、调试与测试 (45)5.1电路安装 (45)5.2电路调试 (46)5.3系统功能及性能测试 (46)5.3.1测试方法设计 (46)5.3.2测试结果及分析 (47)6 结论 (48)7 参考文献 (48)8 总结、体会和建议 (49)附录:电路原理图 (50)元器件清单 (53)1设计任务及要求1.1设计任务设计任务是进行设计的基础,根据对设计任务的分析和理解进行设计电路及相应元器件的选择,从而进行电路仿真和调试。
所以对设计任务的理解和分析是进行设计的关键。
本设计的设计任务为:利用CPLD/FPGA设计一个多功能电子钟。
数字电子钟是一种用数字显示秒、分、时的计时装置,由于十足集成电路技术的发展,使数字钟走时准确、多功能化且性能稳定等优点。
利用CPLD/FPGA设计一个可实现计时、校时、整点报时、定时闹叫多功能的电子钟,需要分两步走。
首先,通过Verilog HDL 硬件描述语言进行代码的编写,利用Quartus进行编译和仿真。
其次,根据设计任务和要求选择器件进行电路的焊接。
1.2设计要求设计要求是完成设计指标的导航,只有很好的分析和了解设计的要求,才可以完成相应的设计。
设计要求:利用实验室EDA实验箱上的CPLD/FPGA、LED显示器、扬声器、拨码开关等资源,设计一个多功能电子钟,要求具有以下功能:1、数字形式显示月、日、时、分、秒,在分和秒之间显示“:”,并按1次/秒的速度闪烁;2、日以24小时为一个记时周期;3、有校正功能,能够在任何时刻对电子钟进行方便的校正;4、有定时闹叫功能,能够按照任意预先设置的时间闹叫,驱动小型扬声器工作,并要求在闹叫状态能够手动消除闹叫;5、整点时刻通过扬声器给出提示;6、采用CPLD/FPGA可编程逻辑器件实现;针对设计要求可做简单分析:所设计的多功能电子钟需要实现计时、整点报时、定时闹叫和校正功能。
计时需要有相应的计时器实现,整点报时需要相应的报时电路和小功率扬声器,定时闹叫需要通过编写的Verilog HDL 程序实现定时和在时间到时驱动小功率扬声器报时,校正电路需要有拨码开关和其他电路实现。
对设计要求的理解:2 系统设计2.1系统要求通过FPGA/CPLD实现多功能电子钟的设计。
电子钟要实现计时、校时、定时闹叫等功能。
通过对设计要求的每条的详细分析可得出如下结果:1、要实现显示月、日、时、分、秒,需要十个LED数码管;要在分和秒之间显示“:”,可以利用两个发光二极管,也可以选择含有“:”的LED数码管;要按1次/秒的速度闪烁,则需要1Hz的输入脉冲控制,所以需要通过晶振产生方波,经过分频产生1Hz的方波。
2、要实现24小时为一个计时周期,则需要一个24进制计时器控制其计数。
3、要实现校正功能,则需要一个校正电路(含校正开关)和相应的校正程序。
4、要实现定时闹叫功能,则需要一个时钟脉冲来控制,使其在设定的时刻报时。
外接一个小型扬声器,在设定时刻闹叫,并通过开关消除闹叫,同时也需要相应的程序控制。
5、通过分频电路实现整点报时所需时钟信号,需设计相应的程序段使其在59分,59秒时报时。
6、根性要求设计Verilog HDL程序,并在Quartus 软件上进行编译和仿真,从而根据资源的需求选择CPLD/FPGA可编程逻辑器件。
要实现系统要求,需要Verilog HDL程序和Quartus 软件的支持。
下面对其进行简单介绍:(1)利用Verilog HDL硬件描述语言实现功能程序。
Verilog HDL是广泛应用的硬件描述语言,可以用在硬件设计流程的建模、综合和模拟等多个阶段。
通过应用Verilog HDL对多功能电子钟的设计,可实现系统的设计要求。
Verilog HDL硬件描述语是一种实用性很强的编程语言,可以实现软件程序和硬件程序的结合,即将所编写的程序在仿真通过后利用下载器下载到电路板上,通过程序控制器件的工作。
模块是Verilog 的基本描述单位,用于描述某个设计的功能或结构及其与其他模块通信的外部端口。
一个设计的结构可使用开关级原语、门级原语和用户定义的原语方式描述; 设计的数据流行为使用连续赋值语句进行描述; 时序行为使用过程结构描述。
一个模块可以在另一个模块中使用。
(2)Quartus是Alterat提供的FPGA/CPLD开发集成环境,支持Verilog HDL 的设计流程。
其包括模块化的编辑器,也可以利用第三方的综合工具,具有仿真功能。
Quartus的设计流程为:设计输入,综合或编译,适配器件,仿真,下载。
通过Quartus可实现对程序的仿真和下载,从而实现系统的要求。
2.2方案设计根据系统要求,将设计程序分为计时模块、整点报时模块、定时闹叫模块、校正模块四大模块,通过模块的例化实现所有功能。
所对应的硬件连接可分为集成芯片、复位电路、校正电路、晶振电路、响铃电路、译码显示电路几个模块。
对可编程逻辑器件的选择是实现硬件电路的基础。
根据要求本设计可选择芯片EPM7023或者芯片EP2C5T144 C8。
但通过查阅资料可知EPM7023只有44个引脚,可能会导致资源不足,即引脚不够用,驱动能力不足,所以选择altera公司的cyclone Ⅱ系列的EP2C5T144 C8芯片。
下面对芯片做简要介绍:⑴拥有丰富的User IO(用户IO引脚),即144个IO接口可供使用。
开发板通过2.54mm标准排针,引出了所有的用户IO引脚。
芯片引脚分为四组(Bank),每组(每个Bank)的IO引脚供电是独立的,因此可以采用不同的电平标准。
⑵内部需要三个电压:5V、3.3V、1.2V,其中3.3V给IO接口供电,1.2V 给内核供电。
⑶含有2个PLL。
PLL的作用是产生各种频率的时钟,在FPGA中拥有重要的地位。
2.3系统工作原理通过晶振产生一个时钟脉冲,成为主时钟。
在通过分频电路输出所需要的时钟脉冲,即1Hz的计时脉冲。
计时时,当秒计数器计到59时,向分计时器进1;当分钟计数器计到59,秒计数器计到59时,向小时计时器进1;当小时计时器计到23,分钟计数器计到59,秒计数器计到59时,向日期计时器进1;当日期计时器计到29,小时计时器计到23,分钟计数器计到59,秒计数器计到59时,向月份计数器进1。
将所计时间通过LED数码管显示出来。
定时闹叫时,设置闹铃的分钟和小时,设置的时候由转换按键控制调整分钟及调整小时之间的切换,每按一次调整键,所调整的计数器加1,分钟计数器计满60就清0,小时计时器计满24就清0。
当到所定时间时,驱动小功率扬声器工作,发出响铃声。
整点报时的工作原理为当秒计数器为0,分计时器为0时,驱动小功率扬声器发出报时声。
校时为修正日期、月份、小时和分钟的时间以及秒钟的精确调整清零。
由转换按键控制调整分钟和调整小时之间的切换,每按一下调整键所调整的计数器加1,分钟计数器满60清零,小时计数器满24清零;秒种的精确调整清零具体为在正常计时的情况下,长时间按住转换按键,即可使秒钟清零,进行精确调时。