重庆三峡学院 EDA实验报告 JK触发器的设计

合集下载

JK触发器的应用设计PPT教学课件

JK触发器的应用设计PPT教学课件

1 0 × × ×× 1 0
1 1 × × ××
00
× ×0 0 1
00
× ×1 1 0
00
000 01
00
001 10
00
010 01
00
011 01
00
100 10
00
101 10
00
ห้องสมุดไป่ตู้
110 10
00
1 1 1 0 31
三、实验内容:
1、 按JK触发器逻辑功能表验证JK 触发器的逻辑功能
JK触发器特性方程和功能表
电路图
波形
+5V(“1”)
CP
0
Q1
0
J1 SD Q1
CP
JK1
SD
J2
Q2
Q2
JK2
Q1
K1 RD Q1
K2 RD Q2
0
0
Q2
2020/12/10
5
四、注意事项:
1、VDD接电源正极,VSS接电源负极(通常接地), 电源绝对不允许接反。实验一般要求为+5V电源。 2、所有输入端一律不准悬空。 3、不使用的输入端应按逻辑要求直接接VDD或VSS, 在工作速度不高的电路中,允许输入端并联使用。 4、输出端不允许直接与VDD或VSS连接,不允许两个 器件输出端连接使用。 5、示波器观察多个波形时,注意选用频率最低的电 压作触发电压。
实验四 JK触发器的应用设计
2020/12/10
1
一、实验目的
• 熟悉并验证触发器的逻辑功能及相互转换的方 法 • 掌握集成JK触发器逻辑功能的测试方法 • 学习用JK触发器构成简单时序逻辑电路的方法 • 进一步熟悉用双踪示波器测量多个波形的方法

jk触发器的工作原理及工作过程

jk触发器的工作原理及工作过程

jk触发器的工作原理及工作过程
JK触发器是数字电路中的一种基本触发器,由两个交叉耦合
的门电路组成。

它们的工作原理和工作过程如下:
工作原理:
1. J (Set) 输入信号:当J输入为高电平时,会将Q输出置为高
电平。

2. K (Reset) 输入信号:当K输入为高电平时,会将Q输出置
为低电平。

3. Q 输出信号:JK触发器的输出Q与输入J、K信号以及时
钟信号有关。

4. 时钟信号:时钟信号用于控制JK触发器的工作。

在上升沿
或下降沿(取决于电路的设计)时,JK触发器根据输入信号
的状态更新输出。

工作过程:
1. 初始状态:JK触发器的初始状态由上电时输入信号的状态
确定。

当J=K=0时,Q为先前状态的保持,即保持原来的值。

2. J=1,K=0:当J为高电平而K为低电平时,触发器会被置
入Set状态,即Q被置为高电平。

3. J=0,K=1:当J为低电平而K为高电平时,触发器会被置
入Reset状态,即Q被置为低电平。

4. J=1,K=1:当J和K均为高电平时,触发器处于反转状态。

当时钟信号的边沿到来时,Q的状态将发生改变,即Q的原
始值被翻转。

5. J=0,K=0:当J和K均为低电平时,触发器继续保持前一
个状态,即Q的值不变。

6. 更新输出:无论何时发生状态的改变,输出Q都会立即更新为新的状态。

总结起来,JK触发器根据输入信号和时钟信号的组合,可以实现保持状态、置高状态、置低状态和翻转状态四种操作。

它是许多复杂数字系统以及时序逻辑电路的重要组成部分。

触发器实验报告

触发器实验报告

实验3 触发器及其应用一、实验目的1、掌握基本RS、JK、D和T触发器的逻辑功能2、掌握集成触发器的逻辑功能及使用方法3、熟悉触发器之间相互转换的方法二、实验原理触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。

1、基本RS触发器图5-8-1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。

基本RS触发器具有置“0”、置“1”和“保持”三种功能。

通常称S为置“1”端,因为S=0(R=1)时触发器被置“1”;R为置“0”端,因为R=0(S=1)时触发器被置“0”,当S=R=1时状态保持;S=R=0时,触发器状态不定,应避免此种情况发生,表5-8-1为基本RS触发器的功能表。

基本RS触发器。

也可以用两个“或非门”组成,此时为高电平触发有效。

表5-8-1输入输出S R Q n+1Q n+10 1 1 01 0 0 11 1 Q n Q n0 0 φφ图5—8—1 基本RS触发器2、JK触发器在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。

本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。

引脚功能及逻辑符号如图5-8-2所示。

JK触发器的状态方程为Q n+1=J Q n+K Q nJ和K是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成“与”的关系。

Q与Q为两个互补输出端。

通常把Q=0、Q=1的状态定为触发器“0”状态;而把Q=1,Q=0定为“1”状态。

图5-8-2 74LS112双JK触发器引脚排列及逻辑符号下降沿触发JK触发器的功能如表5-8-2表5-8-2输入输出S D R D CP J K Q n+1Q n+10 1 ××× 1 01 0 ×××0 10 0 ×××φφ1 1 ↓0 0 Q n Q n1 1 ↓ 1 0 1 01 1 ↓0 1 0 11 1 ↓ 1 1 Q n Q n1 1 ↑××Q n Q n注:×—任意态↓—高到低电平跳变↑—低到高电平跳变Q n(Q n)—现态Q n+1(Q n+1 )—次态φ—不定态JK触发器常被用作缓冲存储器,移位寄存器和计数器。

触发器实验报告

触发器实验报告

触发器实验报告实验九触发器一.实验目的1.掌握RS触发器、D触发器、JK触发器的工件原理。

2.学会正确使用RS触发器、D触发器、JK触发器。

二.实验所用器件和仪表1.四2输入正与非门74LS00 1片2.双D触发器74LS74 1片三.实验内容1.用74LS00构成一个RS触发器。

/R、/S端接电平开关输出,Q、/Q端接电平指示灯。

改变/R、/S的电平,观察并记录Q、/Q的值。

2.双D触发器74LS74中一个触发器功能测试。

(1)将CD(复位)、SD(置位)引脚接实验台电平开关输出,Q、/Q引脚接电平指示灯,改变CD、SD的电平,观察并记录Q、/Q 的值。

(2)在(1)的基础上,;置CD、SD引脚为高电平,D(数据)引脚接电平开关输出,CLK(时钟)引脚接单脉冲。

在D为高电平和低电平的情况,分别按单脉冲按钮,观察Q、/Q的值,记录下来。

(3)在(1)的基础上,将D引脚接1MHz脉冲源,CLK引脚接10MHz脉冲源。

用双踪示波器同时观察D端和CLK端,记录波形;同时观察D端、Q端,记录波形。

分析原因。

四.实验接线图、测试步骤及测试结果1.实验1的接线图、测试步骤、测试结果图9-1 RS触发器测试接线图图9-1是RS触发器接线图。

图中,K1、K2是电平开关输出,L1、L2是电平指示灯。

RS触发器的测试步骤及结果如下:(1)/R=0,/S=1,测得/Q=1,Q=0。

(2)/R=1,/S=1,测得/Q=1,Q=0。

(3)/R=1,/S=0,测得/Q=0,Q=1。

(4)/R=1,/S=1,测得/Q=0,Q=1。

(5)/R=0,/S=0,测得/Q=1,Q=1。

时序电路的值与测试顺序有关,应引起注意。

根据测试结果,得出RS触发器的真值表如下:根据触发器的定义,/Q和Q应互补,因此/R=0,/S=0是非法状态。

2.实验2的接线图、测试步骤、测试结果图9-2 74LS74测试图1 图9-3 74LS74测试图2图9-2和图9-3是测试D触发器的接线图,K1、K2、K3是电平开关输出,L1、L2是电平指示灯,AK1是按单脉冲按钮AK1后产生的宽单脉冲,1MHz、10MHz是时钟脉冲源。

JK触发器教学设计

JK触发器教学设计

《JK触发器》教学设计授课教师课题内容JK触发器课时2课时专业、班级12电子(1)教材电子技术基础与技能教材的地位和作用所用教材是《电子技术基础与技能》,该教材为高等教育出版社出版、国家规划新教材,陈振源主编。

该教材贯穿了“以全面素质教育为基础、以就业为导向、以职业能力为本位、以学生为主体”的教学理念。

《JK触发器》位于项目九:触发器及应用电路的制作,任务三:JK触发器。

教师将本节内容整合成两个课题:JK触发器和JK触发器应用电路的制作,各需要2个课时。

本课题为前2课时的内容:JK触发器。

根据知识的循序渐进性,JK触发器既是前面主从RS触发器的延伸,同时也为后面学习D触发器打好基础。

JK触发器也是分频器、抢答器等电路的重要组成部分,所以JK触发器在教学中具有非常重要的意义。

教学目标知识目标:1、了解JK触发器的电路组成。

2、熟识JK触发器的电气图形符号。

3、掌握JK触发器的逻辑功能。

技能目标:能识别和测试集成JK触发器。

情感目标:在分析问题的过程中培养学生谨慎、塌实、细心的态度和勇于探索的精神;学情分析1.对象:中等职业学校电子技术专业一年级学生2.具体能力:学生具备了分析RS触发器逻辑电路的能力,已经学会了如何去测试RS触发器的逻辑功能。

教学重点1.JK触发器电路组成的分析。

2.JK触发器逻辑功能的认识。

教学难点1、JK触发器逻辑功能的分析。

教学方法创设情境、任务驱动、动画、仿真模拟学习方法自主探究学习法、合作探究学习法教具多媒体课件、仿真模拟软件、动画板书设计JK触发器一、认识JK触发器电路组成五、拓展运用: JK触发器转变成T触发器1、电路组成2、电气图形符号二、74LS76 逻辑功能认识六、课堂测验三、JK触发器逻辑功能分析七、课后拓展:练习仿真模拟软件“多路开关控制同一盏灯亮暗的电路安装”四、完成波形图的绘制附页教学环节教师活动学生活动设计意图创设情境(10分钟)【展示动画】“不同的开关控制同一盏灯的亮暗”。

EDA触发器功能模拟实验报告

EDA触发器功能模拟实验报告

EDA技术实验项目报告项目题目:触发器功能模拟姓名:左修林院系:应用技术学院专业:电子信息工程学号: 201015254135指导教师:陈强综合成绩:完成时间: 2012年5月21日一、项目实验内容摘要基于FPGA硬件开发板,利用QuartusII软件通过VHDL输入的方式实现基本RS触发器,同步触发器,JK触发器,D触发器同时集成在一个FPGA芯片中模拟功能;实验原理图:二、项目实验源代码library ieee;use ieee.std_logic_1164.all;entity mff isport(sd,rd,r,s,clk,j,k,d:in std_logic;qrs,nqrs,qrsc,nqrsc,qjk,nqjk,qd,nqd:out std_logic); --定义多触发器I/O.end mff;architecture mff of mff issignal qtp, qbtp,dd,ndd: std_logic;beginrsff:process(rd,sd) --基本RS触发器功能模拟beginif rd='0' and sd='1' thenqrs<='0';nqrs<='1';elsif rd='1' and sd='0' thenqrs<='1';nqrs<='0';elsif rd='1' and sd='1' then null;end if;end process rsff;rsc:process(clk,rd,sd,r,s) --同步RS触发器功能模拟beginif sd='0' thenqrsc<='1'; nqrsc<='0';elsif rd='0' thenqrsc<='0'; nqrsc<='1';elsif clk='1' thenif r='0' and s='1' thenqrsc<='0';nqrsc<='1';elsif r='1' and s='0' thenqrsc<='1';nqrsc<='0';elsif r='0' and s='0' then null;end if;end if;end process rsc;jk:PROCESS(clk, sd, rd, j, k) --JK触发器功能模拟BEGINIF sd='0' then qtp<='1'; qbtp<='0';elsif rd='0' THEN qtp<='0';qbtp<='1';elsif rising_edge(clk) thenif j='0' and k='0' then null;elsif j='0' and k='1' thenqtp<='0'; qbtp<='1';elsif j='1' and k='0' thenqtp<='1'; qbtp<='0';elseqtp<=NOT qtp; qbtp<=NOT qbtp;end if;end if;qjk<=qtp;nqjk<=qbtp;end process jk;dff:process (clk,rd,sd,d) --D触发器功能模拟beginif (rd='0') then dd<='0'; ndd<='1';elsif(sd='0') then dd<='1'; ndd<='0';elsif rising_edge(clk) then dd<=d; ndd<=not d;end if;qd<=dd; nqd<=ndd;end process dff;end mff;三、项目实验工具软件的选用以及实验过程(一)项目实验工具软件的选用:1、EDA2000实验箱(其他厂家具有同等配置试验箱均可),主要使用:输入:时钟源,按键开关,拨码开关,输出:LED灯;2、主芯片:EP1K1OTC100-3(大于此规模的芯片亦可);3、计算机与QUARTUS Ⅱ软件;(二)实验过程:1、建立工作文件2、创建工程3、编译前设置4、全程编译5、时序仿真6、引脚设置和下载7、配置文件下载8、编程配置器件四、项目实验结果时序仿真波形图:功能仿真波形图:表一基本RS触发器表二同步RS触发器表三 J-K触发器表四 D触发器五、项目实验分析本次实验基本实现了预期要求,传统的对触发器的功能验证方法均采用集成电路搭接实现,本次采用EDA技术避免了传统方法连线复杂,效果不理想的缺点。

数电实验触发器实验报告

数电实验触发器实验报告

数电实验触发器实验报告引言触发器是数字电路中常用的元件,它可以储存和控制输入信号的状态。

在数电实验中,我们进行了触发器的实验,旨在探究触发器的工作原理和应用。

实验目的本实验的目的是: 1. 了解触发器的基本概念和分类; 2. 掌握触发器的工作原理;3. 学会使用触发器设计和实现基本的时序电路。

实验材料和设备1.数字电路实验箱;2.7400四路二输入与非门芯片;3.7402四路二输入与非门芯片;4.7408四路二输入与门芯片;5.7432四路二输入或门芯片;6.74165八位平行装载输入八位并行输出移位存储器芯片;7.电路连接导线;8.示波器。

实验步骤实验一:SR触发器的应用1.将SR触发器芯片连接到实验箱中,根据连接图进行连接;2.调试硬件连接,确保电路连接无误;3.给予输入信号,观察触发器的输出变化;4.记录观察结果。

实验二:JK触发器的应用1.将JK触发器芯片连接到实验箱中,根据连接图进行连接;2.调试硬件连接,确保电路连接无误;3.给予输入信号,观察触发器的输出变化;4.记录观察结果。

实验三:D触发器的应用1.将D触发器芯片连接到实验箱中,根据连接图进行连接;2.调试硬件连接,确保电路连接无误;3.给予输入信号,观察触发器的输出变化;4.记录观察结果。

实验四:T触发器的应用1.将T触发器芯片连接到实验箱中,根据连接图进行连接;2.调试硬件连接,确保电路连接无误;3.给予输入信号,观察触发器的输出变化;4.记录观察结果。

实验五:时序电路的设计1.使用74LS165芯片进行时序电路的设计;2.根据设计要求,连接芯片及其他元件;3.调试硬件连接,确保电路连接无误;4.给予输入信号,观察时序电路的输出变化;5.记录观察结果。

实验结果与分析实验一:SR触发器的应用观察实验一中的SR触发器,当S=0,R=0时,输出保持不变。

当S=1,R=0时,输出为1。

当S=0,R=1时,输出为0。

当S=1,R=1时,输出无法确定,可能产生非正常状态。

JK触发器实现197,194,及有限状态机实验报告分析

JK触发器实现197,194,及有限状态机实验报告分析

JK触发器实现197,194,及有限状态机实验报告一、实验目的1.熟悉JK触发器的工作原理2.熟悉有限状态机的工作原理二、实验仪器及器件1.器件74LS48, 74LS73,,CLOCK,MPX2-CC-BULE,及相关逻辑门三、实验预习1. 复习有关译码显示原理。

2.预习JK触发器和有限状态机的原理四、实验原理1.JK触发器JK触发器的逻辑符号如图JK触发器的逻辑符号从特征可以知道JK触发器是功能最齐全的,具有置0、置1、保持和翻转四个功能,其特征方程为:JK触发器的特征表:2.有限状态机有限状态自动机(FSM:Finite State Machine),简称状态机,是表示有限多个状态以及在这些状态之间转移和动作的数学模型。

状态存储关于过去的信息,它反映从系统开始到现在时刻输入的变化;转移指示状态变更,用必须满足来确使转移发生的条件来描述它;动作是在给定时刻要进行的活动描述。

有多种类型的动作:◆∙ 进入动作(entry action):在进入状态时进行;◆∙ 退出动作:在退出状态时进行;◆∙ 输入动作:依赖于当前状态和输入条件进行;◆∙ 转移动作:在特定转移时进行。

电路特点:3.74LS194图中74LS194为移位寄存器。

它具有左移、右移,并行送数、保持及清除等五项功能。

其引脚图如图(六)所示。

其中Cr为清除端,CP为时钟输入端,S0、S1为状态控制端,DSR为右移数据串行输入端,DSL为左移数据输入端,D0、D1、D2、D3位并行数据输入端,QA、QB、QC、QD为数据输出端。

其功能表如表(二)所示。

节拍发生器工作开始时,必须首先进行清零。

当Cr负脉冲过后QA、QB、QC、QD全为零。

JK触发器Q=1,因而S1=S0=1,实现并行送数.当第一个脉冲的上升沿到达后,置入0111,CP下降沿到达后Q=0,即S1=0,S0=1,实现右移功能。

在CP作用下输出依次为1011,1101,1110,第四个CP下降沿到达后又使Q=1,实现第二个循环。

触发器的实验报告

触发器的实验报告

触发器的实验报告触发器的实验报告引言:触发器是数字电路中常用的一种元件,它具有记忆功能,能够存储和传递信息。

在本次实验中,我们将通过搭建和测试不同类型的触发器电路,深入了解触发器的工作原理和应用。

一、RS触发器的搭建与测试RS触发器是最简单的一种触发器,由两个交叉连接的非门组成。

我们首先按照电路图搭建RS触发器电路,并连接输入和输出信号线。

然后,通过输入不同的逻辑电平,观察输出的变化情况。

实验结果显示,当输入信号为00时,输出保持不变;当输入信号为01时,输出为0;当输入信号为10时,输出为1;当输入信号为11时,输出保持不变。

这说明RS触发器能够存储和传递信息,并且具有稳定的工作状态。

二、D触发器的搭建与测试D触发器是一种常用的触发器,它具有单个输入端和两个输出端。

我们按照电路图搭建D触发器电路,并连接输入和输出信号线。

接下来,我们通过改变输入信号的逻辑电平,观察输出的变化情况。

实验结果显示,当输入信号为0时,输出保持不变;当输入信号为1时,输出与输入信号同步。

这表明D触发器可以根据输入信号的变化来更新输出信号,实现信息的存储和传递。

三、JK触发器的搭建与测试JK触发器是一种常用的触发器,它具有两个输入端和两个输出端。

我们按照电路图搭建JK触发器电路,并连接输入和输出信号线。

然后,我们通过改变输入信号的逻辑电平,观察输出的变化情况。

实验结果显示,当输入信号为00时,输出保持不变;当输入信号为01时,输出为0;当输入信号为10时,输出为1;当输入信号为11时,输出取反。

这说明JK触发器能够根据输入信号的不同来更新输出信号,并具有翻转输出的功能。

四、T触发器的搭建与测试T触发器是一种特殊的JK触发器,它只有一个输入端和两个输出端。

我们按照电路图搭建T触发器电路,并连接输入和输出信号线。

接下来,我们改变输入信号的逻辑电平,观察输出的变化情况。

实验结果显示,当输入信号为0时,输出保持不变;当输入信号为1时,输出取反。

重庆三峡学院实验报告

重庆三峡学院实验报告

重庆三峡学院计算机科学与工程学院实验报告
开出学期:学年第学期
实验时间:专业:实验性质:
姓名:年级班:实验学时:
实验课程:学号:实验成绩:
实验项目名称:
批阅:
教师签字:
一、实验目的(本次试验涉及并要求掌握的主要知识点)
二、实验环境(实验室用的硬件平台、工具及相关软件等)
三、实验内容与实验步骤(包括内容简述、配置和操作要点、原理应用分析、算法设计思
想、流程图及具体实验步骤,代码可作为附件)
四、实验中出现的问题及解决办法(详细记录实验过程中出现的问题或发生的故障,对其
进行分析,说明解决办法及处理过程、根据具体实验、记录试验结果、整理相关数据表格、绘制曲线、波形图等)
五、实验结果总结(对实验结果进行分析,总结实验的心得体会,提出实验改进意见)
六、附录
___年_ _月_ _日。

触发器实验报告

触发器实验报告
本次实验项目为集成触发器功能测试及转换,旨在通过实践操作深化对触发器工作原理的理解。在实验过程中,我详细记录了触发器的各项功能测试,并成功实现了不同类型触发器之间的转换。通过对比理论数据与实验结果,验证了触发器功能的正确性与可靠性。在实验过程中,我遇到了一些问题,如电路连接错误导致测试失败等,但通过仔细检查与反复调试,最终成功解决了这些问题。这次实验不仅提高了我的动手能力,还加深了我对数字电路与逻辑设计课程知识的理解。我深刻体会到理论与实践相结合的重要性,以及耐心与细心在科研工作中的关键作用。总的来说,ห้องสมุดไป่ตู้次实验让我收获颇丰,对我未来的学习与职业发展具有积极意义。

JK触发器集成电路设计Cadence软件模拟仿真

JK触发器集成电路设计Cadence软件模拟仿真

专业综合技能训练报告——JK触发器的设计学院:机械与电子工程学院专业:电子科学与技术班级:080631姓名:***学号:********指导老师:蔡志民老师一、实验目的:1、熟悉UNIX的概念与基本操作;2、掌握Cadence软件的基本操作;3、了解Schematic设计环境4、掌握原理图的设计方法5、熟悉前仿真参数设置和仿真步骤6、学会验证仿真结果二、实验原理1、JK触发器的构造及功能:触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序电路的最基本逻辑单元。

本次是用MOS器件设计一个JK触发器,通过JK 触发器的功能设计电路图,再转换为MOS 器件的电路。

触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序电路的最基本逻辑单元。

触发器具有两个稳定状态,即"0"和"1",在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。

由于采用的电路结构形式不同,触发信号的触发方式也不一样。

根据触发方式触发器可分为电平触发、脉冲触发和边沿触发。

电平触发方式结构简单、触发速度快。

在时钟信号有效电平期间(CLK=1 或CLK=0),触发器总是处于可翻转状态,输入信号的变化都会引起触发器状态的变化。

在时钟信号无效电平期间,触发器状态保持不变。

因此,在时钟信号有效电平宽度较宽时,触发器会连续不停地翻转。

如果要求每来一个CLK 脉冲触发器仅翻转一次的话,则对时钟脉的有效电平的宽度要求极为苛刻,所以实际中应用并不广泛。

边沿触发方式的特点是:触发器只在时钟跳转时刻发生翻转,而在C=1 或C=0 期间,输入端的任何变化都不影响输出。

主从型JK 触发器:由主从型JK 触发器转换的各种功能的触发器都属于主从触发方式。

这种触发方式的工作特点是:克服了在CLK 有效电平期间多次翻转现象,具有一次翻转特性。

就是说,在CLK 有效电平期间,主触发器接受了输入信号发生一次翻转后,主触发器状态就一直保持不变,也不再随输入信号J.K的变化而变化。

触发器及参数测试实验报告

触发器及参数测试实验报告

触发器及参数测试实验报告
一、实验目的
本实验旨在探究触发器及其参数的作用,通过实验验证触发器的工作原理,掌握触发器的使用方法。

二、实验原理
触发器是一种电子元件,可以将输入信号转换为输出信号。

常见的触发器有RS触发器、D触发器、JK触发器和T触发器等。

触发器的参数包括时钟信号、置位信号、清零信号等。

三、实验器材
1.数字电路实验箱
2.示波器
3.信号发生器
4.电压表
四、实验步骤
1.将RS触发器连接至数字电路实验箱上,并接上时钟信号、置位信号和清零信号。

2.使用信号发生器产生输入信号,并通过示波器观察输出信号。

3.调整触发器的参数,观察输出信号的变化。

4.重复以上步骤,测试D触发器、JK触发器和T触发器的工作原理及参数作用。

五、实验结果
通过实验,我们发现不同类型的触发器具有不同的工作原理和参数作用。

例如,RS触发器可以通过置位信号和清零信号来控制输出信号的状态,而D触发器可以通过时钟信号来控制输出信号的状态。

同时,我们还发现触发器的参数设置不当会导致输出信号的错误。

六、实验结论
触发器是一种重要的电子元件,可以将输入信号转换为输出信号。

不同类型的触发器具有不同的工作原理和参数作用,需要根据实际需求进行选择和设置。

在使用触发器时,需要注意参数设置的正确性,以确保输出信号的准确性和稳定性。

七、实验总结
本实验通过实际操作,深入了解了触发器的工作原理和参数作用,掌握了触发器的使用方法。

同时,我们还发现实验过程中需要注意实验器材的正确连接和参数设置的正确性,以确保实验结果的准确性和可靠性。

主从JK触发器实验说明

主从JK触发器实验说明

公众号:惟微小筑
主从型JK触发器实验说明
在安装有实验软件的条件下,双击该实验的图标将会翻开如下图的窗口.
1、将窗口最|大化(右上角蓝框中的方块) ,出现左下脚红框中的运行控制按钮
(见图) .
2、实验过程:点击左下脚的运行开关按钮(三角型按钮)将实验电路置于运行状态.
3、SW1控制直接清零(左清零、右运行) ,SW2控制直接置位(左置位、右运行)
4、SW5控制时钟脉冲左低、右高 .SW3 J输入控制端;SW4 K输入控制端.
5、按下表内容控制SW1 -SW5记录输出电平状态.
SW1 SW2 SW3 SW4 SW5 Q电平Q非电平状态左(0 ) 右(1 ) 左(0 ) 左(0 ) 左(0 ) 0
右(1 ) 左(0 ) 左(0 ) 左(0 ) 左(0 ) 1
右(1 ) 右(1 左(0 ) 右(1 )
右(1 ) 右(1 右(1 ) 左(0 )
右(1 右(1 ) 右(1 ) 右(1 )
6、点击左下脚停止开关按钮(方块型按钮)使电路停止运行.。

机械电子工程:实验二(JK触发器及其应用设计)

机械电子工程:实验二(JK触发器及其应用设计)
实验二
JK触发器及其应用设计
一、实验目的
• 熟悉并验证触发器的逻辑功能及相互转换的方 法 • 掌握集成JK触发器逻辑功能的测试方法 • 学习用JK触发器构成简单时序逻辑电路的方法 • 进一步熟悉用双踪示波器测量多个波形的方法
二、实验元器件:
双JK触发器:4027 1片
VDD 16
2Q 15
2Q 14
2CP 13
2RD 12
2K 11
2J 10
2SD 9
CC4027功能表
输 入 现态 输出(次态)
CC4027
1 1Q 2 1Q 3 4 5 1K 6 1J 7 1SD 8 VSS
SD 0 1 1
RD 1 0 1
CP × × ×
J × × ×
K × × ×
Qn × × ×
Qn+1 Qn+1 0 1 1 1 0 1
1CP 1RD
0
0 0 0 0 0 0 0 0
0
0 0 0 0 0 0 0 0
×
× 0 0 0 0 1 1 1
×
× 0 0 1 1 0 0 1
0
1 0 1 0 1 0 1 0
0
1 0 1 0 0 1 1 1
1
0 1 0 1 1 0 0 0
0
01Biblioteka 1101
三、实验内容:
1、 验证JK 触发器的逻辑功能
思考题
1、如何将JK触发器转换成T触发器和D触发器? 2、D触发器和JK触发器的逻辑功能和触发方式有 何不同? 3、在本实验中,能用负方波代替时钟脉冲吗?为 什么?
JK触发器及其应用设计
二四分频电路图
+5V(“1”) 0 J1 SD Q1 Q1 J2 0 SD Q2 Q2 Q1 Q2

重庆三峡学院EDA实验报告 七段数码管的设计

重庆三峡学院EDA实验报告 七段数码管的设计
toolnetlistviewersrtlviewertoolnetlistviewersrtlviewertoolnetlistviewersrtlviewertoolnetlistviewersrtlviewer重庆三峡学院实验报告纸重庆三峡学院实验报告纸重庆三峡学院实验报告纸通过本次实验通过本次实验通过本次实验我更加熟练的掌握我更加熟练的掌握我更加熟练的掌握quartusllquartusllquartusll的vhdlvhdlvhdl语言描述语言描述语言描述输入法和掌握输入法和掌握输入法和掌握vhdlvhdlvhdl语言以及语言以及语言以及77段数码显示译码器的设计段数码显示译码器的设计段数码显示译码器的设计成功的成功的成功的编写出了程序并进行了编译得到相应的程序图经过这次之后编写出了程序并进行了编译得到相应的程序图经过这次之后编写出了程序并进行了编译得到相应的程序图经过这次之后我相信对这门语言掌握有了一个更大的提升为后面我相信对这门语言掌握有了一个更大的提升为后面我相信对这门语言掌握有了一个更大的提升为后面edaedaeda的学习的学习的学习打下了坚实的基础
表2-1七段字符显示真值表
(3)输入是通过外部的四个按键操作来组成一位十六进制。若链接到FPGA的对应的引脚上,需要进行引脚分配。
三、主要仪器设备
1、装有Quartusll软件的pc电脑
2、EDA实验箱
四、实验步骤
1.在Quartusll管理器窗口中选择菜单file\new project wizard...,出现新建项目向导new project wizard对话框的第一页框中输入项目路径,项目名称和顶层实体文件名zchqiduanyima_1新建项目向导第二页,单击按钮“...”,可浏览文件选项,添加或删除与该项目有关的文件,然后next。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

重庆三峡学院
实验报告
课程名称EDA原理及应用
实验名称JK触发器
实验类型设计学时 2
系别电信学院专业电子信息工程
年级班别2016级班开出学期2018-2019第1期
学生姓名学号
实验教师王仕发成绩
2018年 11 月 2 日
然后选择菜单Tool\Netlist Viewers\RTL Viewer,得到下图:
六、心得体会
通过本次实验,我更加熟练的掌握Quartusll的VHDL语言描述输入法和掌握VHDL语言,经过了老师的指导和同学的帮助,编写出了程序并进行了编译,得到相应的程序图,实验过程中也遇到了许许多多的困难,出现了许多错误,例如编程语言掌握不牢固,缺少标点,编译错误等等。

经过这次之后,我相信对这门语言有了更多的了解,为后面EDA的学习及工作中的应用打下了坚实的基础。

相关文档
最新文档