最新多功能8位十进制频率计数器的设计
基于AT89C51的频率计设计
基于AT89C51的频率计设计第一章绪论;随着微电子技术和计算机技术的迅速发展,特别是单片;采用不同的测量原理,可以设计出不同结构的频率测量;通常能对频率和时间两种以上功能测量的数字化测量仪;1.1频率计的概述;数字频率计是计算机、通讯设备、音频视频等科研生产;本数字频率计将采用定时、计数的方法测量频率,采用;1.2频率计的主要性能;1.2.1.测试功能;它表明数字频率计所具备的全部测试第一章绪论随着微电子技术和计算机技术的迅速发展,特别是单片微机的出现和发展,使传统的电子测量仪器在原理、功能、精度及自动化水平等方面都发生了巨大的变化,形成一种完全突破传统概念的新一代测量仪器。
频率计广泛采用了高速集成电路和大规模集成电路,使仪器在小型化、耗电、可靠性等方面都发生了重大的变化。
对石英晶体振荡器,各种信号发生器,各种倍频和分频电路输出信号的频率需要测量;广播,电视,电讯,微电子技术等现代化的科学领域,更需要进行频率测量。
采用不同的测量原理,可以设计出不同结构的频率测量仪器,所以按测量原理来分,数字频率计可分为谐振式,比较式和计数式三类;按选用电路形式来分,它又可以分为模拟式和数字式两类。
通常能对频率和时间两种以上功能测量的数字化测量仪器,称为数字频率计,有时也称为通用计数器或电子计数器。
当前较多采用的是数字频率计。
计数式频率计是基于时间或频率的A/D转换原理,并依赖于数字计数技术发展起来的一类新型数字仪器。
与其他电子仪器一样,数字频率计也经历了电子管,晶体管和集成电路等几个阶段,其性能日臻完善,功能不断扩大,若配以适当的插件或传感器,还可以对多种电量和非电量进行测量。
1.1 频率计的概述数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。
它是一种用十进制数字显示被测信号频率的数字测量仪器。
它的基本功能是测量正弦信号,方波信号及其他各种单位时间内变化的物理量。
在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。
数字式频率计设计
数字式频率计的设计摘要在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量显得更为重要。
数字频率计是近代电子技术领域的重要测量工具之一,同时也是其他许多领域广泛应用的测量仪器。
数字频率计是在规定的基准时间内把测量的脉冲数记录下来,换算成频率并以数字形式显示出来。
数字频率计用于测量信号(方波,正弦波或其他周期信号)的频率,并用十进制数字显示,它具有精度高,测量速度快,读数直观,使用方便等优点。
测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点。
本次设计的数字频率计以555为核心,采用直接测频法测频,能够测量正弦波、三角波、锯齿波、矩形波等。
根据显示的频率范围,用4片10进制的计数器构成1000进制对输入的被测脉冲进行计数;根据输入信号的幅值要求,所以要经过衰减与放大电路进行检查被测脉冲的幅值;由于被测的波形是各种不同的波,而后面的闸门或计数电路要求被测的信号必须是矩形波,所以还需要波形整形电路,通过这些整体要求,由显示部分,计数部分,逻辑控制部分,时基电路部分,构成简易的频率计的设计。
目录一.设计任务和要求 (3)1.设计任务 (3)2.设计要求 (3)二.系统设计 (4)1.系统要求 (4)2. 方案设计 (5)3.系统工作原理 (6)三.单元电路设计 (8)1.时基电路部分 (8)2.计数显示部分电路 (11)3.控制电路设计如下 (14)四.电路仿真分析 (15)五.元器件的选择及参数确定 (17)1.电路调试 (17)2系统功能及性能测试 (18)3.电路安装 (20)4.调试 (21)参考文献 (25)总结及体会 (26)附录 (28)一.设计任务和要求1.设计任务设计一个数字式频率计。
2.设计要求1、能够测量正弦波、三角波、锯齿波、矩形波等周期性信号的频率;2、能直接用十进制数字显示测得的频率;3、频率测量范围:1HZ—10KHZ且量程能自动切换;4、输入信号幅度范围为0.5—5V,要求仪器自动适应5、测量时间:t≼1.5s6、电源:220V/50HZ的工频交流电供电;(注:直流电源部分仅完成设计即可,不需制作,用实验室提供的稳压电源调试,但要求设计的直流电源能够满足电路要求)7、按照以上技术要求设计电路,绘制电路图,对设计的电路用Multisim或OrCAD/PspiceAD9.2进行仿真,用万用板焊接元器件,制作电路,完成调试、测试,撰写设计报告。
8位全加器的设计
课程设计报告课程名称数字逻辑课程设计课题8位全加器的设计专业计算机科学与技术班级1202学号34姓名贺义君指导教师刘洞波陈淑红陈多2013年12月13日课程设计任务书课程名称数字逻辑课程设计课题8位全加器的设计专业班级计算机科学与技术1202学生姓名贺义君学号34指导老师刘洞波陈淑红陈多审批刘洞波任务书下达日期: 2013年12月13日任务完成日期:2014年01月21日一、设计内容与设计要求1.设计内容:本课程是一门专业实践课程,学生必修的课程。
其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者Verilog H DL设计电子系统的流程和方法,采用Quartus II等工具独立应该完成1个设计题目的设计、仿真与测试。
加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用QuartusII进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。
题目一4线-16线译码器电路设计;题目二16选1选择器电路设计;题目三4位输入数据的一般数值比较器电路设计题目四10线-4线优先编码器的设计题目五8位全加器的设计题目六RS触发器的设计;题目七JK触发器的设计;题目八D触发器的设计;题目九十进制同步计数器的设计;题目十T触发器的设计;每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。
参考书目1 EDA技术与VHDL程序开发基础教程雷伏容,李俊,尹霞清华大学出版社978-7-302-22416-72010TP312VH/362 VHDL电路设计雷伏容清华大学出版社7-302-14226-2 2006 TN702/1853VHDL 电路设计技术王道宪贺名臣刘伟国防工业出版社7-118-03352-92004TN702/624 VHDL实用技术潘松,王国栋7-81065 7-81065-290-7 2000TP312VH/15 VHDL 语言100 例详解北京理工大学ASIC研究所7-9006257-900625-02-X1999TP312VH/36 VHDL编程与仿真王毅平等人民邮电出版社7-115-08641-9 200073.9621/W38V2.设计要求:1) 课程设计报告规范课程设计报告应包含如下几个部分(1)功能描述说明设计器件的功能,包括真值表(功能表),函数表达式,逻辑电路图(2)详细设计按照VHDL语言开发流程写出整个开发的详细过程,可以根据如下步骤适当导出程序,程序界面截图到课程设计报告对应模块。
10进制加减计数器状态机的VHDL设计
10进制加减计数器状态机的VHDL设计一、【设计目的】学习并掌握Quartus II 开发系统的基本操作。
掌握用Quartus II进行文本输入法进行电路设计、编译和仿真方法。
掌握CPLD/FPGA的开发流程。
掌握EDA实验开发系统的使用。
掌握状态机的原理。
掌握简单状态机的VHDL设计方法掌握计数器的设计方法。
掌握带有复位和和时钟使能的10进制计数器的原理。
掌握通用计数器的设计方法。
学习使用VHDL进行时序逻辑电路的设计。
学习利用真值表编写VHDL程序。
学习掌握7段数码显示译码器设计的原理。
掌握VHDL语言方式设计7段数码显示译码器。
学习掌握8位数码管动态扫描显示电路的原理。
掌握VHDL语言设计8位数码管动态扫描显示电路学习VHDL语言设计较复杂的电路方法。
二、【设计任务】设计要求用VHDL语言设计一个状态机,要求具有以下状态和功能中的四种或者四种以上:A.复位功能B.8、10或者16进制加法计数C.8、10或者16进制减法计数D.数码管以任何方式闪动E.8个LED灯以任何方式闪动F.蜂鸣器发声或者播放一段音乐G.并用两位数码管将结果显示出来本次设计选用的功能状态为:A.复位功能B.十进制加法计数C.十进制减法计数D.数码管闪动E.8个led灯闪动F.并用两位数码管将结果显示出来功能实现说明Clk为50MHz的时钟信号输入;rst为复位控制按键(低电平有效);en(0-2)通过三个按键分别控制3种状态(低电平有效)。
1.当en(0)=`0`时,启动加法计数并将结果动态显示到两位数码管上,同时另外的两位数码管的6段以相反方向按顺序闪烁2.当en(1)=`0`时,启动减法计数并将结果动态显示到两位数码管上,同时另外的两位数码管的6段以相反方向按顺序闪烁,闪烁方向与加法的相反;3.当en(2)=`0`时,启动LED闪烁功能,LED灯按顺序逐个流水闪动;4.当rst=`0`时,复位清零;三、【设计代码】library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;-----------------------------------------------------------------------------ENTITY cnt16 ISPORT (clk : IN std_logic; --50MHz时钟信号输入rst : IN std_logic; ---复位功能输入en : IN std_logic_vector(2 DOWNTO 0); ---状态选择输入led8 : OUT std_logic_vector(7 DOWNTO 0); --各段数据输出com8 : OUT std_logic_vector(7 DOWNTO 0)); ---各位数据输出END cnt16;-----------------------*****对各个信号进行定义****------------------------------------- ARCHITECTURE arch OF cnt16 ISsignal cnt : std_logic_vector(25 downto 0 );signal data : std_logic_vector(3 downto 0);signal led8_xhdl : std_logic_vector(7 downto 0);signal com8_xhdl : std_logic_vector(7 downto 0);signal coud1 : std_logic_vector(3 downto 0);signal coud2 : std_logic_vector(3 downto 0);signal s1 : std_logic;signal s2 : std_logic;signal s3 : std_logic;signal coud : std_logic_vector(3 downto 0);signal first : std_logic_vector(3 downto 0); --0000signal second : std_logic_vector(3 downto 0); --0000 0000 0000 1001 signal jinwei: std_logic;------------------------------------------------------------------------------------------------------------- beginled8<=led8_xhdl;com8<=com8_xhdl;----------------------- *****状态控制部分*************--------------------------------------------- process(en)beginif en="110"then ----状态控制,低电平有效s1<='1';s2<='0';s3<='0';else if en="101"thens2<='1';s1<='0';s3<='0';else if en="011"thens3<='1';s1<='0';s2<='0';end if;end if;end if;end process;-------------------------------------------------------------------------------------------------------------------------------**********时钟分频部分********----------------------------------process(clk,rst)beginif(rst='0')thencnt<="00000000000000000000000000";elsif(clk'event and clk='1')thencnt<=cnt+1; -----来一个时钟信号cnt加1end if;end process;----------------------------------------------------------------------------------------------------------------------*****-加减计数器的个位-*******------------------------------- process(cnt(24),rst,s1,s2)beginif(rst='0')thenfirst<="0000";jinwei<='0';elsif(cnt(24)'event and cnt(24)='1')then ---每隔(1/50)*48=0.96s计数一次if (s1='1')thenif(first="1001")then ---10进制计数first<="0000";jinwei<='1';elsejinwei<='0';first<=first+1; ---加法计数end if;else if(s2='1')thenif(first="0000")thenfirst<="1001";jinwei<='1';elsejinwei<='0';first<=first-1; ---减法计数end if;end if;end if;end if;end process;-------------------------------------------------------------------------------------------------------------------*********-加减计数器的十位*******-------------------------- process(jinwei,rst,s1,s2)beginif(rst='0')thensecond<="0000";elsif(jinwei'event and jinwei='1')then ---每来1个位产生的进位信号则十位加(减)1if (s1='1')thenif(second="1001")thensecond<="0000";elsesecond<=second+1;end if;else if(s2='1')thenif(second="0000")thensecond<="1001";elsesecond<=second-1;end if;end if;end if;end if;end process;------------------------------------------------------------------------------------------------------------------------------------------------*****闪动数码管的计数***--------------------------------------- process(cnt(23),rst,s1,s2)beginif(rst='0')thencoud<="0000";else if(cnt(23)'event and cnt(23)='1')then ----每隔(1/50)*46=0.92s计数一次if(s1='1')thenif(coud="0101")thencoud<="0000";elsecoud<=coud+1;end if;else if(s2='1')thenif(coud="0000")thencoud<="0101";elsecoud<=coud-1;end if;end if;end if;end if;end if;end process;--------------------------------------------------------------------------------------------------------------------------***码变换****---------------------------------------------process(coud,rst)begincase coud iswhen"0000" => coud1<="1010";coud2<="1010";when"0001" => coud1<="1011";coud2<="1111";when"0010" => coud1<="1100";coud2<="1110";when"0011" => coud1<="1101";coud2<="1101";when"0100" => coud1<="1110";coud2<="1100";when"0101" => coud1<="1111";coud2<="1011";when others => coud1<="0000";coud2<="0000";end case;end process;--------------------------------------------------------------------------------------------****************显示部分***************--process(rst,s1,s2,s3,cnt(17 downto 16),cnt(25 downto 23))beginif(rst='0')thencom8_xhdl<="11110000";led8_xhdl <= "00000000";else if s1='1'or s2='1'then ----计数以及数码管闪动部分的扫描case cnt(17 downto 16) iswhen"10" => com8_xhdl<="11111110";data<=first;when"01" => com8_xhdl<="11111101";data<=second;when"00" => com8_xhdl<="01111111";data<=coud1;when"11" => com8_xhdl<="10111111";data<=coud2;end case;case data isWHEN "0000" =>led8_xhdl <= "00111111"; --0WHEN "0001" =>led8_xhdl <= "00000110"; --1WHEN "0010" =>led8_xhdl <= "01011011"; --2WHEN "0011" =>led8_xhdl <= "01001111"; --3WHEN "0100" =>led8_xhdl <= "01100110"; --4WHEN "0101" =>led8_xhdl <= "01101101"; --5WHEN "0110" =>led8_xhdl <= "01111101"; --6WHEN "0111" =>led8_xhdl <= "00000111"; --7WHEN "1000" =>led8_xhdl <= "01111111"; --8WHEN "1001" =>led8_xhdl <= "01101111"; --9WHEN "1010" =>led8_xhdl <= "00000001";WHEN "1011" =>led8_xhdl <= "00000010";WHEN "1100" =>led8_xhdl <= "00000100";WHEN "1101" =>led8_xhdl <= "00001000";WHEN "1110" =>led8_xhdl <= "00010000";WHEN "1111" =>led8_xhdl <= "00100000";WHEN OTHERS =>led8_xhdl <= "00000011";END CASE;else if s3='1'then ----8个LED灯闪动的扫描,闪动周期为0.92s-1s case cnt(25 downto 23) iswhen"000" => com8_xhdl<="11111110";led8_xhdl<="10000000" ;when"001" => com8_xhdl<="11111101";led8_xhdl<="10000000" ;when"010" => com8_xhdl<="11111011";led8_xhdl<="10000000" ;when"011" => com8_xhdl<="11110111";led8_xhdl<="10000000" ;when"100" => com8_xhdl<="11101111";led8_xhdl<="10000000" ;when"101" => com8_xhdl<="11011111";led8_xhdl<="10000000" ;when"110" => com8_xhdl<="10111111";led8_xhdl<="10000000" ;when"111" => com8_xhdl<="01111111";led8_xhdl<="10000000" ;end case;end if;end if;end if;end process;end arch;。
频率计
输入阻抗为50欧姆。
在SE-FCNTR装置的开发,大量的高频资料收集,前置放大器/预分频器电路的设计,元器件选择及性能评价等方面,金社长均予以了大量的帮助,在此深表感谢!
image013.png(14.88 KB)
2009-5-17 17:17
续(源程序部分)
//
// 100MHz / 2.4GHz Universal Counter
固然可以通过TTL集成电路计数器来组成的10分频预分频器。然而,现在可以通过微型处理器进行十进制转换,因而采用64/128/256预分频。
本机的预分频器采用富士通公司的MB506。
从10MHz至2.4GHz的可采用64分频的预分频器。
输入信号的放大采用两片Mini Circuits(微型电路)公司的MAR-6。
输入频率的最低电压有所不同。
总体来说,50MHz~100MHz输入电压需1.5V以上,50MHz以下则需0.5V左右方可进行计数。
2.4GHz,50欧姆,64分频 预分频器(prescaler):
预分频器的作用是提高频率计数器的测量频率上限。
比如,在30MHz计数器基础上右上一个高速10分频器,即可构成为一个300MHz的计数器。
ATMEL公司的AT89C51与市面上Intel公司的标准8051系列相同,带有4k闪存。是市面上最经济实惠的微处理器。
8051处理器有两个内部16位计数器,
定时器Timer0及外部计数器用作频率计数,定时器Timer1是用来产生1秒闸门时间。
为了简化电路,数字LED显示部分,采用动态驱动电路。
每位数字2毫秒依次顺序点亮。
软件构成:
开发软件采用C语言编译器。
英国Crossware公司的 8051 ANSI C语言编译器,具有丰富的数据类型,并且提供IEEE695格式32位微处理器调试。
EDA实验报告-实验3计数器电路设计
暨南大学本科实验报告专用纸课程名称EDA实验成绩评定实验项目名称计数器电路设计指导教师郭江陵实验项目编号03 实验项目类型验证实验地点B305学院电气信息学院系专业物联网工程组号:A6一、实验前准备本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。
EDAPRO/240H实验仪主板的VCCINT跳线器右跳设定为3.3V;EDAPRO/240H实验仪主板的VCCIO跳线器组中“VCCIO3.3V”应短接,其余VCCIO均断开;独立扩展下载板“EP1K10_30_50_100QC208”的VCCINT跳线器组设定为 2.5V;独立扩展下载板“EP1K10_30_50_100QC208”的VCCIO跳线器组设定为3.3V。
请参考前面第二章中关于“电源模块”的说明。
二、实验目的1、了解各种进制计数器设计方法2、了解同步计数器、异步计数器的设计方法3、通过任意编码计数器体会语言编程设计电路的便利三、实验原理时序电路应用中计数器的使用十分普遍,如分频电路、状态机都能看到它的踪迹。
计数器有加法计数器、可逆计数器、减法计数器、同步计数器等。
利用MAXPLUSII已建的库74161、74390分别实现8位二进制同步计数器和8位二——十进制异步计数器。
输出显示模块用VHDL实现。
四、实验内容1、用74161构成8位二进制同步计数器(程序为T3-1);2、用74390构成8位二——十进制异步计数器(程序为T3-2);3、用VHDL语言及原理图输入方式实现如下编码7进制计数器(程序为T3-3):0,2,5,3,4,6,1五、实验要求学习使用Altera内建库所封装的器件与自设计功能相结合的方式设计电路,学习计数器电路的设计。
六、设计框图首先要熟悉传统数字电路中同步、异步计数器的工作与设计。
在MAX+PLUSII中使用内建的74XX库选择逻辑器件构成计数器电路,并且结合使用VHDL语言设计转换模块与接口模块,最后将74XX模块与自设计模块结合起来形成完整的计数器电路。
八位十进制频率计
图10.2是8位十进制数字频率计的电路逻辑图,它由一个测频控制信号发生器TESTCTL、8个有时钟使能的十进制计数器CNT10、一个32位锁存器REG32B组成。
以下分别叙述频率计各逻辑模块的功能与设计方法。
图10.2 8位十进制数字频率计逻辑图1) 测频控制信号发生器设计频率测量的基本原理是计算每秒钟内待测信号的脉冲个数。
这就要求TESTCTL的计数使能信号TSTEN能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT10的ENA 使能端进行同步控制。
当TSTEN高电平时,允许计数;低电平时,停止计数,并保持其所计的数。
在停止计数期间,首先需要一个锁存信号LOAD的上跳沿将计数器在前1秒钟的计数值锁存进32位锁存器REG32B中,并由外部的7段译码器译出并稳定显示。
锁存信号之后,必须有一清零信号CLR_CNT对计数器进行清零,为下1秒钟的计数操作作准备。
测频控制信号发生器的工作时序如图6.3所示。
为了产生这个时序图,需首先建立一个由D触发器构成的二分频器,在每次时钟CLK上沿到来时其值翻转。
其中控制信号时钟CLK的频率取1 Hz,而信号TSTEN的脉宽恰好为1 s,可以用作闸门信号。
此时,根据测频的时序要求,可得出信号LOAD和CLR_CNT的逻辑描述。
由图10.3可见,在计数完成后,即计数使能信号TSTEN在1 S的高电平后,利用其反相值的上跳沿产生一个锁存信号LOAD,0.5 s后,CLR_CNT产生一个清零信号上跳沿。
图10.3 测频控制信号发生器工作时序高质量的测频控制信号发生器的设计十分重要,设计中要对其进行仔细的实时仿真(TIMING SIMULA TION),防止可能产生的毛刺。
其VHDL程序设计如下:-- TESTCTL.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALLENTITY TESTCTL ISPORT (CLK:IN STD_LOGIC;--1 Hz测频控制时钟TSTEN:OUT STD_LOGIC;--计数器时钟使能CLR_CNT:OUT STD_LOGIC;--计数器清零LOAD:OUT STD_LOGIC);--输出锁存信号END ENTITY TESTCTL;ARCHITECTURE ART OF TESTCTL ISSIGNAL DVI2CLK :STD_LOGIC;BEGINPROCESS ( CLK ) ISBEGINIF CLK’EVENT AND CLK= ‘1’ THEN --1 HZ时钟二分频DIV2CLK<=NOT DIV2CLK;END IF ;END PROCESS;PROCESS ( CLK,DIV2CLK ) ISBEGINIF CL K= ‘0’ AND DIV2CLK = ‘0’ THEN --产生计数器清零信号CLR_CNT<= ‘1’;ELSE CLR_CNT<= ‘0’ ;END IF;END PROCESS;LOAD<=NOT DIV2CLK;TSTEN<=DIV2CLK;END ARCHITECTURE ART;2) 寄存器REG32B设计设置锁存器的好处是,显示的数据稳定,不会由于周期性的清零信号而不断闪烁。
八位十进制数字频率计设计报告
主控单元设计
MCU选型 1
选择功能强大、低功耗的ARM Cortex-M处理器
时钟电路 2
采用高精度晶振提供稳定的系统时钟
外围接口 3
包括GPIO、ADC、定时器、串口等外设接口
电源管理 4
整合电源调节电路以提供稳定电源 主控单元是该频率计的核心,负责采集、处理和显示数据。我们采用性能强劲、功耗低的ARM Cortex-M系列MCU作为主控芯片,并设计高精度时钟电路, 同时集成丰富的外设接口和电源管理电路,以确保系统的稳定运行。
对设计、制造、使用环境等各个环节进行全面的可靠性分析 和评估。
识别可能出现的失效模式,并采取相应的防范措施。
3 加速寿命测试
通过加速寿命试验,预测产品的实际使用寿命。
4 环境适应性
评估产品在不同环境条件下的性能和可靠性表现。
成本分析
主要原材料成本 制造成本 研发成本 营销及管理成本
占总成本64% 占总成本25% 占总成本5% 占总成本6%
关键元器件选型
集成电路
根据系统功能需求,选择合适的微控 制器、放大器、逻辑门电路等集成电 路器件。
无源元件
选用合适的电阻、电容、电感等无源 元件,满足电路的电压、电流和频率 需求。
显示模块
根据显示内容和环境选择合适的LCD 、LED或OLED显示器件。
电源模块
选用适合的变压器、稳压器、开关电 源等电源系统元件。
系统可以在相对湿度10%至95%的环 境中稳定运行,确保设备在潮湿环境中 也能可靠使用。
海拔适应
设计可在海拔0-5000米的高度环境中 正常工作,适应不同地理环境的使用需 求。
振动测试
系统通过严格的振动测试,能够承受5500Hz范围内的随机振动,抗击震能力 强。
电子教案《数字电子技术(余红娟)》PPT第11章数字系统综合设计
11.2.4 LPM_ROM型音符预置数存储器设置
图11-21 LPM_ROM型音符预置数存储器TONE_TABL配置文件设置界面
11.2.4 LPM_ROM型音符预置数存储器设置
图11-22 音符预置数配置文件data2.mif生成界面
11.2.4 LPM_ROM型音符预置数存储器设置
图11-23 音符预置数mif配置文件data2.mif
B k 1
k 1 2π
2N
(11-6)
11.4.1 DDS实现原理
数据线位宽
频率字输入 同步 N 寄存器
clk 系统时钟
相位字输入 同步 寄存器
N
N
M
M
寄存器
相位累加器
相位调制器
正弦ROM 查找表
图11-27 基本DDS结构
正弦信号输出
D/A
11.4.1 DDS实现原理
基本DDS结构的常用参量计算如下:
11.7.1 电路结构与工作原理
图11-38 ADC0809采样电路系统:RSV.bdf
11.7.1 电路结构与工作原理
图11-39 CNT8B设置界面
11.7.1 电路结构与工作原理
图11-40 CNT10B设置界面
11.7.1 电路结构与工作原理
图11-41 21max电路结构
11.7.2 时序分析
11.2.5 时序仿真测试与硬件实现
11.3 乐曲自动演奏电路设计
11.3.1 自动演奏原理和实现方案
11.3.2 电路设计
11.3.2 电路设计
图11-24 乐曲自动演奏电路
【例11-1】 WIDTH = 4 ; --“梁祝”乐曲乐谱码mif文件 DEPTH = 256 ; ADDRESS_RADIX = DEC ; DATA_RADIX = DEC ; CONTENT BEGIN --注意实用文件中要展开以下数据,每一组占一行 00: 3 ; 01: 3 ; 02: 3 ; 03: 3; 04: 5; 05: 5; 06: 5;07: 6; 08: 8; 09: 8; 10: 8 ; 11: 9 ; 12: 6 ; 13: 8; 14: 5; 15: 5; 16: 12;17: 12;18: 12; 19:15; 20:13 ; 21:12 ; 22:10 ; 23:12; 24: 9; 25: 9; 26: 9; 27: 9; 28: 9; 29: 9; 30: 9 ; 31: 0 ; 32: 9 ; 33: 9; 34: 9; 35:10; 36: 7; 37: 7; 38: 6; 39: 6; 40: 5 ; 41: 5 ; 42: 5 ; 43: 6; 44: 8; 45: 8; 46: 9; 47: 9; 48: 3; 49: 3; 50: 8 ; 51: 8 ; 52: 6 ; 53: 5; 54: 6; 55: 8; 56: 5; 57: 5; 58: 5; 59: 5; 60: 5 ; 61: 5 ; 62: 5 ; 63: 5; 64:10; 65:10; 66:10; 67: 12; 68: 7; 69: 7; 70: 9 ; 71: 9 ; 72: 6 ; 73: 8; 74: 5; 75: 5; 76: 5; 77: 5; 78: 5; 79: 5; 80: 3 ; 81: 5 ; 82: 3 ; 83: 3; 84: 5; 85: 6; 86: 7; 87: 9; 88: 6; 89: 6; 90: 6 ; 91: 6 ; 92: 6 ; 93: 6; 94: 5; 95: 6; 96: 8; 97: 8; 98: 8; 99: 9; 100:12 ;101:12 ;102:12 ;103:10;104: 9;105: 9;106:10;107: 9;108: 8;109: 8; 110: 6 ;111: 5 ;112: 3 ;113: 3;114: 3;115: 3;116: 8;117: 8;118: 8;119: 8; 120: 6 ;121: 8 ;122: 6 ;123: 5;124: 3;125: 5;126: 6;127: 8;128: 5;129: 5; 130: 5 ;131: 5 ;132: 5 ;133: 5;134: 5;135: 5;136: 0;137: 0;138: 0; END ;
8位十进制数码动态扫描电路设计报告资料
设计报告课程名称电子技术基础I任课教师设计题目8位十进制数动态扫描显示控制电路班级8位十进制数数码动态扫描电路设计简介:所谓动态扫描显示,就是让各位LED按照一定的顺序轮流地发光显示。
只要每秒扫描次数大于24次以上,就观察不到闪烁现象,人眼看起来很稳定。
静态扫描显示与动态显示相比,有显著降低LED功耗,大大减少LED的外部引线等优点。
目前动态扫描显示技术已经被广泛应用于新型数字仪表、智能仪器和智能显示屏中。
本次课程实践中运用QuartusII软件,采用VHDL文本设计和原理图相结合的层次化方式实现数码8位动态扫描显示电路设计。
首先,分别用VHDL语言编写8位数码扫描显示电路程序和分频器程序,作为底层文件;顶层文件用原理图的设计方法,调用底层文件生成的符号,从而实现动态扫描显示。
用VHDL设计一个8位数码扫描显示电路,利用QuartusII9.0进行编辑输入、编译及时序仿真。
其中,由于分频器的分频系数过大时,在仿真波形上很难看出波形的变化,如本设计是从100MHz分频到1KHz,分频系数为一万,所以可以通过改变减小分频系数,如改为10分频,就得到变化的波形,来验证数码动态扫描显示电路设计的正误。
一、工作原理1、8位动态扫描显示的工作原理:输入信号:时钟信号CLK。
输出控制信号:段控制信号SG[6..0];位控制控制信号BT[7..0]。
8位数码管,其中每个数码管的8个段h、g、f、e、d、c、b、a(h是小数点)都分别连接在一起,8个数码管分别由8个选通信号k1~k8来选择。
被选通的数码管显示数据,其余关闭。
如在某一时刻,k3为高电平,其余选通信号为低电平,这时仅为k3对应的数码管显示来自段信号端的数据,而其他7个数码管呈现关闭状态。
根据这种电路状况,如果希望在8个数码管显示希望的数据,就必须使得8个选通信号k1~k8分别被选通,与此同时,在段信号输入口加上希望在该对应数码管上显示的数据,于是随着选通信号的扫变,就能实现扫描显示的目的。
数字频率计的课程设计
引言近年来, 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要.在电子系统非常广泛应用领域内, 到处可见到解决离散信息的数字电路。
供消费用的微波炉和电视、先进的工业控制系统、空间通讯系统、交通控制雷达系统、医院急救系统等在设计过程中无一不用到数字技术。
数字电路制造工业的进步, 使得系统设计人员能在更小的空间内实现更多的功能, 从而提高系统可靠性和速度。
数字集成电路具有结构简朴(如其中的晶体管是工作于饱和与截止2种状态, 一般不设偏置电流)和同类型电路单元多(如一个计数系统需要很多同类型的触发器和门电路)的特点, 因而容易是高集成度和归一化。
由于数字集成电路与电子计算机的发展紧密相关, 因而发展不久, 目前已是集成电路中产量最高、集成度最大的一种器件。
集成电路的类型很多, 从大的方面可分为模拟和数字集成电路两大类。
虽然它们都可模拟具体的物理过程, 但其工作方式有着很大的不同。
甚至也许完全不同。
电路中的工作信号通常是用电脉冲表达的数字信号。
这种工作方式的信号, 可以表达2种截然不同的现象。
如以有脉冲表达“1”, 无脉冲便表达“0”;以“1”表达“真”, 则“0”便表达“假”, 等等。
反之亦然。
这就是“数字信号”的含义。
所以, “数字量”不是连续变化的量, 其大小往往并不改变, 但在时间分布上却有着严格的规定, 这是数字电路的一个特点。
数字式频率计基于时间或频率的A/D转换原理, 并依赖于数字电路技术发展起来的一种新型的数字测量仪器。
由于数字电路的飞速发展, 所以, 数字频率计的发展也不久。
通常能对频率和时间两种以上的功能数字化测量仪器, 称为数字式频率计(通用计数器或数字式技术器)。
在电子测量技术中, 频率是一个最基本的参量, 对适应晶体振荡器、各种信号发生器、倍频和分频电路的输出信号的频率测量, 广播、电视、电讯、微电子技术等现代科学领域。
数字频率计设计方案
数字频率计设计方案•数字频率计设计方案数字频率计是直接用十进制数码来显示被测信号频率的一种测量装置。
本频率计在电路设计中充分考虑了电路简洁,功能实用,制作方便,调试简单,性能良好,成本低廉。
电路工作原理频率是单位时间里脉冲的个数,数字式频率计的测量原理分直接测频率法和测周期法两类,直接测频法是测量单位时间内被测信号的周期数。
考虑使用常见元件和降低成本,本设计采用直接测频率法,电路主要由五部分组成,其方框图如图1所示。
被测信号经放大、整形后,送入计数器进行计数;秒脉冲电路产生标准秒脉冲,经闸门控制电路形成控制信号控制计数器的工作模式;计数结果由数码管直接显示出来。
电路原理图如图2所示。
由以下几部分电路组成:1.放大整形电路由Q3、Q4、VD3、VD4、IC4及外围元件组成,对输入信号进行放大、整形处理,将被测信号变换成矩形开关信号。
输入信号由“lN ”输入端输入,C3、C4、R6、R7、VD3、VD4组成输入及限幅保护电路。
Q3、Q4组成宽频带放大器,Q3为结型场效应管、用于提高输入阻抗。
4049反向器D5、D6和电阻R14、R15构成施密特触发器,将模拟信号变换成边沿陡直的方波脉冲送入计数器CP 。
C3、C4、C5、C7为耦合电容,C6、C8为旁路电容。
2.秒脉冲产生电路秒脉冲由石英钟集成电路SM5544产生。
该集成电路内包含32.768kHz 晶振、多级分频、放大驱动电路等。
由于IC1与外接的32.768KHz 实时晶振共同构成32.768KHz 振荡器,其3脚交替输出窄脉冲信号。
脉宽31.2ms ,周期2s ,两输出脉冲时差1s ,经三极管QQ1、Q1、QQ2、Q2放大后再和与非门IC2B 作与非运算,输出周期为1s 的窄脉冲。
各点波形如图3所示。
3.闸门控制电路其作用是形成计数器所需的控制脉冲。
秒脉冲信号经八进制计数/分配器CD4022(IC3)、与非门IC2D 、IC2A 、IC2C 处理后,形成清零信号R 和闸门控制信号INH 。
verilog八位十进制计数器实验报告(附源代码)
verilog⼋位⼗进制计数器实验报告(附源代码)8位10进制计数器实验报告⼀、实验⽬的●学习时序逻辑电路●学会⽤verilog语⾔设计时序逻辑电路●掌握计数器的电路结构●掌握数码管动态扫描显⽰原理⼆、实验内容实现⼀个8bit⼗进制(BCD码)计数器端⼝设置:⽤拨动开关实现复位和使能LED灯来表⽰8位数据⽤数码管显⽰16进制的⼋位数据1.复位时计数值为8‘h02.复位后,计数器实现累加操作,步长为1,逢9进1,,计数值达到8‘h99后,从0开始继续计数3.使能信号为1时正常计数,为0时暂停计数,为1时可继续计数。
4.每0.5s计数值加15.8位的结果显⽰在LED灯上,其中LED灯亮表⽰对应的位为1,LED灯灭表⽰对应的灯为06.⽤isim进⾏仿真,⽤forever语句模拟时钟信号输⼊,并给变量赋值仿真initial语句。
7.⽤7段数码管的后两位显⽰16进制下8位结果。
三、实验结果烧写结果:拨动reset开关到1时,LED灯显⽰10010000,7段数码管显⽰“90”。
之后拨动WE开关呢,开始计数,LED开始变化并且7段数码管开始计数。
从99后到达00,LED重新开始从00000000开始亮,且数码管重新从00开始计数。
之后拨动WE开关,暂停计数,LED暂停亮灭,七段数码管暂停变化,WE拨回1,继续计数。
拨动复位信号时,⽆视WE信号,直接复位。
仿真结果:当输⼊reset信号时波形变化如下当达到⼀个扫描信号的周期时的波形如下当达到⼀个以上计数信号的周期时的波形实验分析:实验总体结构和模块间关系如图所⽰:(其中还需要补上使能信号)实验原理:由于要求实现数码管和LED灯的显⽰,先考虑LED灯,可以直接由8位输出信号控制,⽽数码管需要同时显⽰两个不同的数字,需要时分复⽤,即快速的交替显⽰⼗位和个位,利⽤⼈眼的视觉暂留来达到同时显⽰。
这样就需要两种不同的频率信号。
⼀种是每0.5s⼀次,作为计数信号,⽤脉冲⽣成器⽣成,另⼀种是1ms⼀次的扫描信号,⽤降频器⽣成,将计数信号输⼊计数器来计数,并将计数的值和扫描信号同时输⼊扫描显⽰模块。
基于单片机的数字频率计的设计
1前言频率测量是电子学测量中最为基本的测量之一。
由于频率信号抗干扰性强,易于传输,因此可以获得较高的测量精度。
随着数字电子技术的发展,频率测量成为一项越来越普遍的工作,测频原理和测频方法的研究正受到越来越多的关注。
1.1频率计概述数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。
它是一种用十进制数字显示被测信号频率的数字测量仪器。
它的基本功能是测量正弦信号、方波信号及其他各种单位时间内变化的物理量。
在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。
传统的频率计采用测频法测量频率,通常由组合电路和时序电路等大量的硬件电路组成,产品不但体积大,运行速度慢而且测量低频信号不准确。
本次采用单片机技术设计一种数字显示的频率计,测量准确度高,响应速度快,体积小等优点。
1.2频率计发展与应用在我国,单片机已不是一个陌生的名词,它的出现是近代计算机技术的里程碑事件。
单片机作为最为典型的嵌入式系统,它的成功应用推动了嵌入式系统的发展。
单片机已成为电子系统的中最普遍的应用。
单片机作为微型计算机的一个重要分支,其应用范围很广,发展也很快,它已成为在现代电子技术、计算机应用、网络、通信、自动控制与计量测试、数据采集与信号处理等技术中日益普及的一项新兴技术,应用范围十分广泛。
其中以AT89S52为内核的单片机系列目前在世界上生产量最大,派生产品最多,基本可以满足大多数用户的需要。
2 系统总体设计2.1测频的原理测频的原理归结成一句话,就是“在单位时间内对被测信号进行计数”。
被测信号,通过输入通道的放大器放大后,进入整形器加以整形变为矩形波,并送入主门的输入端。
由晶体振荡器产生的基频,按十进制分频得出的分频脉冲,经过基选通门去触发主控电路,再通过主控电路以适当的编码逻辑便得到相应的控制指令,用以控制主门电路选通被测信号所产生的矩形波,至十进制计数电路进行直接计数和显示。
EDA技术--教学大纲
《EDA技术与HDL语言》课程教学大纲一、《EDA技术与HDL语言》课程说明(一)课程代码:0711018(二)课程英文名称:EDA technology and HDL language(三)开课对象:电子信息工程技术专业学生(四)课程性质:本课程是一门专业主干课程,是数字电子技术的一门后续课程;本课程的目的是让学生掌握PLD器件的使用方法,培养HDL语言的编程能力,形成数字系统设计的初步能力;本课程的先修课程为:模拟电子技术、数字电子技术、单片机原理及其接口技术。
(五)教学目的:本课程让学生掌握PLD器件的使用方法,培养HDL语言的编程能力,形成数字系统设计的初步能力。
(六)教学内容:本课程主要介绍PLD器件的基本结构、工作原理、PLD的种类;HDL语言相关语法、设计方法以及调试软件QUARTUSⅡ的使用方法。
(七)学时数、学分数及学时数具体分配学时数:72学时学分数:4学分(八)教学方式以多媒体技术为主要手段的理论教学及实践教学(九)考核方式和成绩记载说明考核方式为考试。
严格考核学生出勤情况,达到学籍管理规定的旷课量取消考试资格。
综合成绩根据平时成绩、实验成绩、期末成绩评定,平时成绩占20%,实验成绩10%,期末成绩占70% 。
二、讲授大纲与各章的基本要求第1章概述教学要点:通过本章的学习,使学生初步了解EDA的基本知识、常用的EDA基本工具使用方法和目标器件的结构原理。
教学时数:4学时教学内容:第一节 EDA技术第二节 EDA技术应用对象第三节 VHDL第四节 EDA的优势第五节面向FPGA的EDA开发流程一设计输入二综合三适配(布线布局)四仿真第六节 PLD一 PLD的分类二 PROM可编程原理三 GAL第七节 CPLD的结构与可编程原理第八节 FPGA的结构与工作原理一查找表逻辑结构二 FPGA的结构与原理第九节硬件测试技术一内部逻辑测试二 JTAG边界扫描测试第十节 FPGA/CPLD产品概述一 Altera公司FPGA和CPLD器件系列二 Lattice公司CPLD器件系列三 Xilinx公司FPGA和CPID器件系列第十一节编程与配置第十二节 FPGA配置器件第十三节 Quartus II2第十四节 IP核第十五节 EDA的发展趋势第2章 Quartus II设计向导教学要点:通过本章的学习,使学生掌握Quartus II软件的基本使用方法,学会图形输入法的EDA 设计过程。
数字频率计设计仿真
数字频率计设计与仿真1 引言在现代电子技术中,频率是基本的参数之一,并与许多电子参量的测量方案和测量结果有密切的关系。
因此我们对于频率的认识显得就更为重要。
频率的测量方法有很多,其中数字频率计具有测量精度高、使用方便和测量迅速等优势,是目前测量频率的主要手段。
Multisim 是以Windows 为基础的一种仿真工具,适合用于数字电路或者模拟电路的设计工作。
它有直观的捕捉和强大的仿真功能,能够轻松,快速,高效对电路图进行设计和验证。
图1-1 频率计方框图数字频率计是一种最基本的测量仪器,是通信设备、计算机应用、音频视频设备等等科研生产领域里不测或缺的测量设备之一,是一种用十进制数字显示被测信号的频率的数字的测量仪器,迄今为止已经有几十年的发展历史,频率计的基本功能是用来测量三角波信号、正弦波信号及方波信号等单位时间内变化的物理量。
因而其实际运用范围是很广泛的。
在早期,人们对于数字频率计的研究主要表现在扩大测量范围和提高精确度,而这些技术现在已日却成熟,现在人们对数字频率计又提出很多新的要求,例如价格低,操作方便,高精度,高稳定度甚至还包括数据处理和分析功能。
较老的频率计是输主门 十进制计数器显示器主门触发器 十进制计数器时基振荡器 输入放大器多芯片同步十进制技术,新型频率计要求芯片的数量要少,这样器件越少的话对于频率计的技术就会更准确,误差也会越小。
一个基本的频率计的方框图如图1-1所示。
而本课题涉及的主要内容是对输入信号的整形,闸门电路控制输入信号,以及对脉冲的计数,锁存和译码,通过该项设计可以将数字电路和模拟电路的理论知识运用到实际的设计中去,具有方便快捷,容易测量等特点。
2 选择测量方式信号频率指的是信号在单位时间内周期信号变化的次数,其表达式可写为f=N/T ,其中f 指被测信号的频率,N 为信号所累计的脉冲的个数,T 是产生N 个脉冲所需要的时间参数。
该表达式其所记录的结果就是被测信号的频率。
多功能8位十进制频率计数器的设计__本科毕业设计论文
江西理工大学应用科学学院毕业设计多功能8位十进制频率计数器的设计设计题目:多功能8位十进制计数器的设计学生学号:08060311205学生姓名:孔文尧专业班级:电信112指导教师:邓茜孔文尧:8位十进制数字频率计的设计摘要使用VHDL 语言来设计,画出流程图和仿真图,让设计的电路通过硬件仿真,再在下到相关器件上,指导满足要求,能实现电子自动化的过程。
使用该仪器测试所得到的信号的频率,有正弦波,有方波但是其信号必须按周期性变化,否则一定是机器坏了和操作不得当。
因此这个毕业设计,不但有力于让数字频率计的功能更强,也可以让成本降低和增加其实际作用。
所有的科研院所,学校,实验室,车间等商业机构都使用了大量的数字频率器或其相关产品。
因为它的使用性,价格也相当的低廉所以被人们广泛的使用和研究。
在这被人们所注意到,而且仿真可以提供更好的测量频率也会让实验的结果更加的精确,他能满足了数字频率计自动清零需求,当然也能满足自动化功能测试要求。
现在我们对他的研究途径它不仅仅在于容易阅读,也在于我可以控制精度,这也是很牛逼的。
最重要的是数字频率计,在高科技设备研发和数字卫星领域,数字通信应用等领域中有不俗的贡献。
[关键词]:VHDL 语言仿真频率计数器。
江西理工大学应用科学学院毕业设计SummaryUse c language to design, draw a flowchart and simulation map, so the design of the circuit by hardware emulation, and then next to the relevant device guidance to meet the requirements, to achieve the electronic automated process. Frequency signal obtained by the instrument test with a sine wave, but their well-wave signal must be periodically changed, the machine must be broken and the operation shall not be treated. So this graduation design, not only to make powerful digital frequency meter more powerful, but also allows cost reduction and increase its practical effect. All research institutes, schools, laboratories, workshops and other business organizations are using a lot of digital frequency or its related products. Because of its use, the price is quite low so been widely used and studied. In this been noticed, and simulation can provide better measurement frequency also make experimental results more accurate, he can meet the needs of the digital frequency meter is automatically cleared, of course, but also to meet the requirements of automated functional testing,Now we study the way for him it's not just that it is easy to read, but also that I can control precision, it is also very fast hardware. The most important is the digital frequency meter, high-tech equipment in the field of research and development and digital satellite, digital communications applications in other fields have good contributions.[Keywords]: c language simulation frequency meter.孔文尧:8位十进制数字频率计的设计目录第一章绪论 (1)1.1 频率计数器的背景 (1)1.2 频率计数器的研究意义 (1)1.3 毕业设计的大致介绍 (1)第二章数字频率计的基本原理 (3)2.1 测量频率的方法 (3)2.2 频率计的介绍 (3)2.4 时间和频率测量 (4)第三章数字频率计的硬件设计 (7)3.1实验原理 (7)3.2数字频率计的原理图 (9)3.3可编程逻辑器件基本原理 (8)第四章部分模块的设计仿真图 (11)4.1部分模块的仿真图 (11)4.2主要元件及功能模块说明 (16)4.3 测频控制电路 (16)4.4 32位锁存器 (17)4.5 计数器 (18)4.6 系统的软件设计 (18)4.7 FPGA开发板的介绍 (18)4.8部分程序代码 (18)江西理工大学应用科学学院毕业设计第五章总结和展望 (25)第六章致谢 (25)江西理工大学应用科学学院毕业设计第一章、绪论1.1 频率计数器的背景数字频率计在计算机的创新和通信设备,音响的制作以及其他重要生产视频的产品起着至关重要的作用,也包括测量设备的研究也有不俗的贡献,最重要的是,它对于数字电压分量是不可或缺的。
简易数字频率计设计
引言数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。
它不仅可以测量正弦波、方波、三角波、尖脉冲信号和其他具有周期特性的信号的频率,而且还可以测量它们的周期。
经过改装,可以测量脉冲宽度,做成数字式脉宽测量仪;可以测量电容做成数字式电容测量仪;在电路中增加传感器,还可以做成数字脉搏仪、计价器等。
因此数字频率计在测量物理量方面应用广泛。
在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。
测量频率的办法有多种,其中电子计数器测量频率具有精度高、使用方便,测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。
电子计数器测频有两种方法:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。
直接测频法适用于高频信号的频率测量,间接测频法适用于低频信号的频率测量。
其测频原理总框图如下图1所示:图1 数字频率计整体方案结构方框图本次设计要求设计一个频率计数器,能够用来测量正弦信号和矩形信号波形工作频率的电路。
其测量结果直接由四位十进制数字显示。
其原理是根据每个闸门时间内高频标准脉冲的个数,求得被测信号的个数,从而求得被测信号频率。
设计主要由时基电路,放大整形电路,闸门电路,计数器等实现。
电路的涉及主要依据了数字电路和模拟电路的知识,并将完成其对信号的频率和周期的测量。
关键词:频率频率计设计1 系统概述1.1 整体功能要求频率计主要用于测量正弦波、方波、三角波等周期信号的频率值和周期,以及脉冲波的脉冲宽度。
1.2 系统结构要求数字频率计的整体结构要求如图1-1所示。
图中被测信号为外部信号,送入测量电路进行处理、测量。
图1-1 数字频率计整体结构框图上图各单元电路的工作原理如下:(1)整形电路:将输入的非矩形周期信号(如正弦波、三角波等)进行整形,使之成为矩形脉冲。
整形输出波形频率不变。
层次化设计
层次化设计
1.1 8位十进制计数器的设计 1. 构建元件符号
图2-37 将原理图设计生成一个底层的单独元件(Symbol)
层次化设计
1.1 8位十进制计数器的设计 2. 构建顶层文件
图2-38 从当前工程路径中调入元件CNT10
ቤተ መጻሕፍቲ ባይዱ
层次化设计
1.1 8位十进制计数器的设计 2. 构建顶层文件
图2-39 两位十进制频率计顶层设计原理图文件
层次化设计
3. 功能分析
图2-39 两位十进制频率计顶层设计原理图文件 图2-41 74374真值表
层次化设计
1.1 8位十进制计数器的设计 4. 全程编译 5. 时序仿真
图2-42 图2-39的仿真激励波形图,或称矢量波形文件
层次化设计
图2-43 图2-39的仿真波形图(enb不同脉宽) 图2-44 图2-39的仿真波形图(enb相同脉宽)
层次化设计
1.2 硬件测试与实验
图2-45 将引脚nCEO设定为I/O口
EDA技术及其应用
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
多功能8位十进制频率计数器的设计多功能8位十进制频率计数器的设计设计题目:多功能8位十进制计数器的设计学生学号: 08060311205学生姓名:孔文尧专业班级:电信 112指导教师:邓茜摘要使用VHDL 语言来设计,画出流程图和仿真图,让设计的电路通过硬件仿真,再在下到相关器件上,指导满足要求,能实现电子自动化的过程。
使用该仪器测试所得到的信号的频率,有正弦波,有方波但是其信号必须按周期性变化,否则一定是机器坏了和操作不得当。
因此这个毕业设计,不但有力于让数字频率计的功能更强,也可以让成本降低和增加其实际作用。
所有的科研院所,学校,实验室,车间等商业机构都使用了大量的数字频率器或其相关产品。
因为它的使用性,价格也相当的低廉所以被人们广泛的使用和研究。
在这被人们所注意到,而且仿真可以提供更好的测量频率也会让实验的结果更加的精确,他能满足了数字频率计自动清零需求,当然也能满足自动化功能测试要求。
现在我们对他的研究途径它不仅仅在于容易阅读,也在于我可以控制精度,这也是很牛逼的。
最重要的是数字频率计,在高科技设备研发和数字卫星领域,数字通信应用等领域中有不俗的贡献。
[关键词]:VHDL 语言仿真频率计数器。
SummaryUse c language to design, draw a flowchart and simulation map, so the design of the circuit by hardware emulation, and then next to the relevant device guidance to meet the requirements, to achieve the electronic automated process. Frequency signal obtained by the instrument test with a sine wave, but their well-wave signal must be periodically changed, the machine must be broken and the operation shall not be treated. So this graduation design, not only to make powerful digital frequency meter more powerful, but also allows cost reduction and increase its practical effect. All research institutes, schools, laboratories, workshops and other business organizations are using a lot of digital frequency or its related products. Because of its use, the price is quite low so been widely used and studied. In this been noticed, and simulation can provide better measurement frequency also make experimental results more accurate, he can meet the needs of the digital frequency meter is automatically cleared, of course, but also to meet the requirements of automated functional testing,Now we study the way for him it's not just that it is easy to read, but also that I can control precision, it is also very fast hardware. The most important is the digital frequency meter, high-tech equipment in the field of research and development and digital satellite, digital communications applications in other fields have good contributions. [Keywords]: c language simulation frequency meter.目录第一章、绪论 01.1 频率计数器的背景 01.2 意义 01.3 毕业设计的大致介绍/url?source=transpromo&rs=rssf&q=///community?sou rce=all (1)第二章数字频率计的基本原理 (2)2.1 测量频率的方法 (2)2.2 频率计的作用 (2)2.4 时间和频率测量 (3)2.4.1 标度和偏置 (4)设定标度和偏置在根据一些定义可以得到公式:显示结果=测量结果×标度+偏置设定使用这个公式得到的显示结果能让屏幕上的数据更有实际意义,在实际应用中往往比较方便。
(4)第三章数字频率计的硬件设计 (6)3.1 实验原理 (6)3.2可编程逻辑器件基本原理 (7)3.3数字频率计的原理图 (9)第四章部分模块的设计仿真图 (11)4.1部分模块的仿真图 (11)4.2主要元件及功能模块说明 (16)4.3 测频控制电路 (16)4.4 32位锁存器 (17)4.5 计数器 (18)4.6 系统的软件设计 (18)4.7 FPGA开发品台的介绍 (19)4.8 部分程序代码 (20)4.8 实验结果分析 (25)第五章总结和展望 (27)参考文献 (29)第一章、绪论1.1 频率计数器的背景数字频率计在计算机的创新和通信设备,音响的制作以及其他重要生产视频的产品起着至关重要的作用,也包括测量设备的研究也有不俗的贡献,最重要的是,它对于数字电压分量是不可或缺的。
而且数字频率计数器在电压表,计算机制作,所有的无线通讯天线和过程自动化设备,部分测量设备,大部分家电,也有了突出的贡献。
随着人们用的越多,也越来越了解它,尤其是在电子产品的输出显示,在现在的很多人看来其它的数据电气设备数字频率计有许多的优点像投资少,价格便宜,操作简单,易于开发这方面,最重要的是到哪里有能买到,所以他有了广泛的实际和技术的应用。
以上说的都是工作中的,在现实生活中,数字频率计在彩电,冰箱,DVD上的作用很突出,让我和我们的家人一直都在使用,在学校里数字电压表数字万用表上也有数字频率计的使用。
它可以非常精确的测量我们需要的数值,而且操作简单,易于理解。
现在我们对他的研究途径它不仅仅在于容易使用,也在于我可以控制精度,这也是很牛逼的。
最重要的是数字频率计,在高科技设备研发和数字卫星领域,数字通信应用等领域中有不俗的贡献。
如上所说,我们可以下一个定论,所有的数字频率计数器都是含有电子产品的。
我们的新技术在CD,VCD,DVD和数字音频广播设备的研发创新有巨大的作用,而且已经有大量的产品进入商业市场。
1.2 意义虽然这个行业在中国的发展与全球所有的国家相比,我们是落后的。
但是它在我们国家正在飞速的发展,他也代表这我其他相关产品在全球的发展情况。
因此,我们需要了解到,制定相关方案帮助电子信息产业的发展和取得先进技术,这是不可缺少的。
它对我们来说是非常重要,也是稀少,功能很多的。
我们的频率计数器,虽然比发达国家还差一点但是也没有差多少,而且我国也非常重视这一块的发展。
现在他的发展速度很快能追上其他先进国家,再加上现在的技术实际上已经发展了多年,到了一定的瓶颈。
随着时间的流逝频率计数器已经开始向着更智能,更精细的方向发展。
虽然中国外交比以前相比有了巨大的改变,但是也有一些问题摆在我们面前,那就是中国仍然是一个缺乏发展和投资基金的国家。
所以我们只是制造大国,而不是研发大国。
正因为这个原因,我国的许多企业都是没有核心竞争力的,这是我们国家基本所有企业都存在的情况,但是随着技术的发展,我们国家应该大力支持我们国家的自主研发产品,让我们的产品走出中国,走向世界。
而这不仅仅是投资,更重要的是对人才的保护,出台相应的法律作出具体的行动,并起到保护行业的发展的作用。
1.3 毕业设计的大致介绍/url?source=transpromo&rs=rssf&q=//translate.google. com/community?source=all毕业设计主要内容是:(1)频率计设计的基本原理。
(2)部分程序相关VHDL 语言的介绍。
(3)各功能模块的分析,功能模块的模拟测试,模拟数字频率计的功能应用。
对论文做如下安排:第一章介绍了它的来历和地位,第二章数字频率计的原理大致介绍,该程序要求一定的VHDL 语言基础来编写程序,因此我们需要去了解并熟练运用。
第三章是该毕业设计原理,要求的基本说明。
第四章主要是部分元器件的仿真图,代码和部分元器件的说明,再把理论与结果一起分析。
最后一章是总结和致谢。
第二章数字频率计的基本原理在当今社会里的各种视频播放器,电话等设备,以及一些科学实验很重要的工具都是需要这个东西。
当我们正确的编程之后,我们还要对测得的信号做一些有用的相关测量,基本它芯片都有这个功能也都能做到。
2.1 测量频率的方法(1)直接测量的方法(2)间接的测量在实际的测量过程中,传统的频率测量会因为信号频率的下降,原理测量精度的不同,会导致结果不同。
而用数字频率计数器不仅会有高精度,而且比较稳定,不会产生相应的波动。
所以在这个基础上我们还要控制在计数器信号不溢出,最后在用公式计算闸门时间 42.94 S,但是因为单片机的数据处理能力不佳,所以理论上的时间会比实际时间上0.1左右。
为了更好的测量数据,要控制好自动转换的范围,这样能扩大了频率测量的范围,能更好的增加实现测量的准确性,从而使低频测量误差大大减小。
对我们来说间接测量频率的主要方法就是绘制设计控制框图,加上设定门控制信号,就能基本实现这个功能达到目标。
最近又留行了一直叫做多周期同步的方法,和以前的测量方法比有很大的的优越性,其中最重要的一项就是测频测量精度的准确性,用的人也很多。
2.2 频率计的作用先用控制器计数。