数字钟设计(数电)
数电课设-数字钟
数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。
2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。
3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。
4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。
二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。
2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。
3、用LCD液晶屏来显示当前时间及功能模式。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。
数电课程设计数字钟
数电课程设计数字钟一、课程目标知识目标:1. 理解数字钟的基本原理和组成,掌握数字电路基础知识;2. 学会运用组合逻辑电路设计数字钟的时、分、秒显示部分;3. 掌握数字钟的计时功能,了解其工作过程和调试方法;4. 了解数字钟在实际应用中的优势,如精确度、稳定性等。
技能目标:1. 能够运用所学知识,设计并搭建一个简单的数字钟电路;2. 培养动手实践能力,学会使用相关仪器、工具进行电路搭建和调试;3. 提高问题解决能力,能够分析并解决数字钟运行过程中出现的问题;4. 学会团队协作,与他人共同完成课程设计任务。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识;2. 培养学生的耐心、细心和责任心,养成良好的学习习惯;3. 引导学生关注科技发展,认识数字技术在实际生活中的应用;4. 培养学生的环保意识,注意电子垃圾的处理和回收。
课程性质:本课程为实践性较强的课程,注重培养学生的动手能力和实际操作技能。
学生特点:学生已具备一定的数字电路基础知识,具有较强的求知欲和动手欲望。
教学要求:结合课程性质和学生特点,采用理论教学与实践操作相结合的方式,注重启发式教学,引导学生主动参与课程设计过程,提高学生的实践能力和创新能力。
通过课程目标的分解,确保学生能够达到预定的学习成果,为后续的教学设计和评估提供依据。
二、教学内容1. 数字钟原理及组成- 了解数字钟的基本工作原理- 掌握数字钟的各个组成部分,如振荡器、分频器、计数器、显示电路等2. 组合逻辑电路设计- 学习组合逻辑电路的设计方法- 应用组合逻辑电路设计数字钟的时、分、秒显示部分3. 数字电路基础知识- 复习数字电路基础知识,如逻辑门、触发器、计数器等- 了解不同类型数字电路的特点和应用4. 数字钟电路搭建与调试- 学习数字钟电路的搭建方法- 掌握数字钟电路的调试技巧,分析并解决常见问题5. 教学内容安排与进度- 第一周:数字钟原理及组成,数字电路基础知识复习- 第二周:组合逻辑电路设计,数字钟显示部分设计- 第三周:数字钟电路搭建,初步调试- 第四周:数字钟电路调试,优化与改进6. 教材章节及内容列举- 教材第三章:数字电路基础- 教材第四章:组合逻辑电路- 教材第五章:时序逻辑电路- 教材第六章:数字钟设计与实践教学内容科学、系统,注重理论与实践相结合,以学生动手实践为主,充分调动学生的积极性,培养实际操作能力。
数字电子钟--数电(带闹钟调节时间和整点报时)
物理与电子工程学院课程设计题目:数字电子钟专业电子信息工程班级12级电信三班学号12300331学生姓名李长炳指导教师张小英张艳完成日期:2013 年7月数字电子钟前言:数字钟是一个将“时”、“分”、“秒’’显示于人的视觉器官的计时装置。
它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时闹铃等功能。
一、基本原理主体电路1.1 振荡电路晶体振荡器的作用是产生时间标准信号。
我采用由门电路或555定时器构成的多谐振荡器作为时间标准信号源。
本系统中的振荡电路选用555定时器构成的多谐振荡器,见图1。
多谐振荡器的振荡频率可由式估算。
图11.2 时、分、秒显示电路模块设计①秒的产生采用74LS160产生60进制的加法计数器,输出端Q0,Q1,Q2,Q3分别接到七段数码管的相应的各端,由上图的555产生的秒脉冲链接秒的两个160的cp,第一片的进位来控制第二片的EP,ET来构成秒。
如下图所示图2注意:两个CP都是连接到555的输出。
②分的产生采用74LS160产生60进制的加法计数器,输出端Q0,Q1,Q2,Q3分别接到七段数码管的相应的各端,由上图的秒产生的进位连接秒的两个160的cp,第一片的进位来控制第二片的EP,ET来构成秒。
如下图所示图3注意:两个CP都是连接的秒的进位的输出。
③小时的产生采用74LS160产生24进制的加法计数器,输出端Q0,Q1,Q2,Q3分别接到七段数码管的相应的各端,由上图的分产生的进位连接秒的两个160的cp,第一片的进位来控制第二片的EP,ET来构成秒。
如下图所示图4注意:两个CP都是连接的秒的进位的输出。
1.3闹钟我设置的闹钟是00:03响的。
会响一分钟,采用与非门和或门组成的电路。
可以得出以下的电路图当达到00:03时就开始响,当不是00:03是就停止了,喇叭一端节地。
仿真图如下所示。
图51.4整点报时整点报时就是当达到了整点的时候就开始响,我设计的是响10秒钟的报时。
数电课设--数字钟的设计
数电课设--数字钟的设计摘要:该设计主要是设计一种基于数字电路实现的数字钟,用于显示当前时间,同时设计一个简单的时间调整系统来实现对数字钟的时间调整。
本设计实现了数字钟的时间显示、时间调整等功能,具有简单、实用等优点。
关键词:数字钟、计数器、时间调整系统一、引言数字钟是一种时钟显示设备,它可以在显示面板上显示当前时间,数字钟的普及改变了人们观念上的关于时间知识的变革。
本课设就是要通过设计一个数字钟,来综合应用我们所学的数字电路知识,通过数字电路的设计实现时间的显示及调整。
二、数字钟的设计原理数字钟的设计离不开计数器和定时器,计数器的作用是进行计数操作,进而对时间进行处理,定时器的作用是用来控制计数器的计数和复位,使其能够按照固定的时间序列不断进行计数。
数字钟的显示部分采用数码显示管显示当前时间,数码显示管显示的时间单位有小时、分钟和秒。
三、数字钟的设计方案数字钟的设计方案可以分为两部分,一部分是计数器及定时器的设计,另一部分是时间调整系统的设计。
下面分别进行介绍。
(一)计数器及定时器的设计计数器采用7474型D触发器进行设计,二进制计数器采用模8计数模式,带有异步复位功能。
其中,D触发器的Vcc接+5V电源,GND接地,CLK接定时器的输出,D接Q的输出,Q接下一级触发器D端。
计数器采用8253/8254型定时器,应该根据标准时钟的频率和预置值计算计数器的频率和复位时间。
时间调整功能通常是通过8255接口芯片实现。
(二)时间调整系统的设计时间调整系统通过单片机实现,主要实现以下功能:上下键切换修改时间单位、按键快速调整修改时间数字、按键高频稳定范围设置、判断闹钟是否开启、日历选择等。
四、数字钟的实现数字钟的实现可以参考实验教材进行,实现前需要明确以下几点:1. 根据实际需求确定数字钟的参数:例如显示的时间格式,以及是否需要设置闹钟等。
2. 设计好数字钟的原理图,并选择适合的元件进行接线。
3. 进行电路调试和测试,对电路进行稳定性测试等。
电子数字时钟课程设计报告(数电)
电子数字时钟课程设计报告(数电)第一篇:电子数字时钟课程设计报告(数电)数字电子钟的设计1.设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。
而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。
且由于数字钟包括组合逻辑电路和时叙电路。
通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。
1.1设计指标1.时间以12小时为一个周期;2.显示时、分、秒;3.具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 1.2 设计要求1、电路设计原理说明2、硬件电路设计(要求画出电路原理图及说明)3、实物制作:完成的系统能达到题目的要求。
4、完成3000字的课程设计报告2.功能原理2.1 数字钟的基本原理数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、整点报时电路等组成。
工作原理为时钟源用以产生稳定的脉冲信号,作为数字种的时间基准,要求震荡频率为1HZ,为标准秒脉冲。
将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计数器,可以实现24小时的累计。
LED数码管将“时、分、秒”计数器的输出状态显示。
校时电路是来对“时、分、秒”显示数字进行校对调整。
2.2 原理框图3.功能模块3.1 振荡电路多谐振荡器也称无稳态触发器,它没有稳定状态,同时无需外加触发脉冲,就能输出一定频率的矩形波形(自激振荡)。
数电课程设计 集成数字式闹钟
《电子技术》课程设计报告《数字钟的设计》专业:班级:姓名:学号:指导教师:完成日期:年月日第页共页设计任务书一、设计题目:“数字钟的设计”二、技术要求:1.设计一台能直接显示“时”、“分”、“秒”的数字钟,要求24小时为一计时周期。
2.当电路发生走时误差时,要求电路具有校时功能。
三、。
基本工作原理:数字钟是一个将“时”、“分”、“秒”显示的计时装置。
它的计时周期为24小时,显示满时刻为23时59分59秒,另外应有校时功能。
整个电路采用异步清零的方法来进行计数及清零,使得秒显示及分钟显示以60为一周期,时显示以24为一周期。
校时电路由RS触发器构成,选择1HZ脉冲进行校时。
四、元件清单;1、74160 6片2、74LS003、74LS084、74LS045、74LS326、555定时器7、电阻10kΩ8、电容0.01μF 47μF9、电源,双掷开关及导线若干五、各部分组成及结构:1、振荡电路1HZ脉冲输出2、分钟计时器分进位信号秒进位/校时信号采用异步清零,分钟的十位变成6时,被立即清零。
3、时计时器分进位/校时信号采用异步清零,当时计数器计数到24时被清零,而24这个状态不显示。
4、校时电路分进位/校时信号秒进位/校时信号1HZ脉冲秒进位信号分进位信号1HZ脉冲时校时电路分钟校时电路如图所示状态时,校时电路不工作。
当分钟校准时,开关2被掷到另一端;时校准时,开关3被掷到另一端。
当数字钟仅仅只有分钟走误时,分钟的校准不会向时显示进位。
在这个过程中,利用一个或门将分钟可能产生的进位信号屏蔽掉,以达到仅仅分钟校准的目的。
六、实验内容:画出整机电路图连接电路并进行调试。
七、体会:实际设计、调试和理论有很大的差异,简简单单的理论应用到实践的过程中会遇到各种各样的困难。
例如,刚设计的时候没有进位信号,十位的变化比各位慢一个或半个脉冲等等。
通过看书上的知识,慢慢完善电路,以达到准确计时的目的。
另外,电路连线多,连线时应细心、耐心。
(数电)多功能数字钟—设计报告
1、设计内容及要求:①基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为24进制,并要求手动快校时、校分。
②扩展功能:整点报时。
2、系统设计原理:系统要求:数字电子钟由555集成芯片构成的振荡电路、计数器、译码器、显示器和校时电路组成。
555集成芯片构成的振荡电路产生的信号作为秒脉冲,秒脉冲送入计数器,计数结果通过“时”、“分”、“秒”译码器显示时间。
在功能方面,对于本次综合设计,还要求有校时与整点报时功能。
方案设计:图1. 数字钟电路框图电子钟的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。
因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。
主电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。
系统工作原理:秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,用555振荡器来实现。
将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计时器,可实现对一天24小时的累计。
译码显示电路将“时”、“分”、“秒”计数器的输出状态用七段显示译码器译码,通过七段显示器显示出来。
校时电路时用来对“时”、“分”显示数字进行校对调整。
3.单元电路的设计:3.1、基于555电路的秒脉冲发生器的设计3.1.1用555芯片设计一个多谐振荡器,输出方波用作计数器。
脉冲频率公式:f=1/(R1+2R2)C㏑2选择R1=1K,R2=5K,RV1=2K,C=100nF,形成电路图如图所示:图2. 555振荡器电路图仿真波形如图所示图3. 555脉冲仿真波形图555振荡器输出f=1000HZ,通过分频得出1HZ的脉冲,此脉冲当做秒时针脉冲。
数字电子钟(计时、校时以及整点报时)数电课程设计报告
设计要求1.用秒脉冲作信号源,构成数字钟,显示秒、分、时2.具有“对时”功能,即时间可以快速预置3.具有整点提示功能。
一种实现的方法是每到整点时触发“音乐芯片”或每到整点前几秒钟,发出如“的、的、的、答”声音信号。
系统框图设计过程时间显示模块电路可以用3个CD4518作为核心芯片,进行级联,再辅以若干逻辑门,完成进位、置零等功能,CD4518是双十进制计数器,有两个时钟输入端,正好可以满足进位和校时的功能,而不会产生干扰,且有一个置零功能,可以组成六十进制和二十四进制的计数器。
整点报时模块电路用的是555芯片和一块CD4068芯片组成的电2路,555芯片可以接成多谐振荡器,提供交变信号使蜂鸣器发出声音,而整点报时的控制可以用CD4068实现,CD4068是8输入与/与非门,可以在整点之前输出脉冲信号,经过由555芯片组成的多谐振荡器,为其提供一个信号,这样由多谐振荡器输出端可以使蜂鸣器发出“嘀、嘀、嘀”的响声。
秒信号发生器可以用实验箱上的秒脉冲信号代替。
考虑到开关抖动现象,校时模块电路实验实验箱上的按键开关,每输出一个脉冲信号可以改变分个位和十个位,同时考虑到干扰问题,进位接线和校时接线接在不同的时钟输入端。
电路仿真与设计3.1所需芯片及芯片管脚图CD4518 CD4068CD4002 CD40112CD4069 5553.2时、分、秒显示电路模块设计整个电路的的核心芯片是CD4518,它是一个双10进制加法计数器,因此只需要三个芯片,进行级联即可实现两个六十进制和一个二十四进制计数器,再加上一些合适的逻辑门,实现置零和进位。
上图是秒显示电路设计图,右边为秒个位,左边为秒十位,秒个位的电路中置零引脚和时钟输入端CP1必须接地,这是因为CMOS 的引脚不能悬空,否则会影响实验结果,CP0接秒脉冲信号,考虑到秒个位计数到9的时候必须进位,所以在显示0的同时输出一个进位信号,输出是0000,因此可以用一个或非门,当输出是0000的时候提供一个进位信号至秒十位的时钟输入端,秒十位另一个时钟输入端接地,当秒十位计数器计到5时,在输出为0110时提供一个信号到秒十位计数器的置零端,使其实现0110——0000,即六十进制。
数字电路课程设计数字时钟报告
数字电路课程设计数字时钟报告数字电路课程设计数字时钟介绍•数字电路课程设计是一门重要的电子工程课程,旨在培养学生在数字电路设计领域的能力和技巧。
•数字时钟是数字电路设计项目中一个典型的案例,可以通过该项目加深对数字电路原理和实践的理解。
设计目标•开发一个功能完备、性能稳定的数字时钟电路。
•通过数字时钟项目,培养学生的数字电路设计能力、团队合作能力和解决问题的能力。
设计步骤1.分析需求:确定数字时钟的功能和性能要求,例如显示精度、时钟模式、闹钟功能等。
2.确定器件:根据设计需求,选择适合的数字电路和组件,如时钟发生器、计数器、显示器等。
3.设计电路原理图:根据需求和选择的器件,绘制数字时钟的电路原理图。
4.进行逻辑设计:使用数字逻辑门和触发器等器件,实现数字时钟的各个功能模块。
5.进行测试:将电路搭建并连接,对数字时钟进行功能和性能测试。
6.优化和修改:根据测试结果,优化和修改电路设计,确保数字时钟的稳定性和可靠性。
7.编写报告:总结设计过程,记录问题和解决方案,描述数字时钟的设计和实现。
设计要点•确保数字时钟的显示精度和稳定性,避免数字闪烁或误差较大。
•采用合适的计数器和时钟发生器,确保数字时钟能准确计时和显示时间。
•考虑数字时钟的功耗和可靠性,选择适合的电源和元器件。
•在设计中考虑数字时钟的扩展性和功能性,如增加闹钟、温湿度显示等功能。
结论•数字时钟设计是数字电路课程中有趣而实用的项目,能够培养学生的实践能力和创造力。
•通过数字时钟项目,学生可以通过实践掌握数字电路设计的方法和技巧,提高解决问题的能力和团队协作能力。
•数字时钟设计也是一个不断优化和改进的过程,通过反复测试和修改,可以得到一个性能稳定、功能完备的数字时钟电路。
数电数字钟课程设计
数电数字钟课程设计一、课程目标知识目标:1. 理解数字时钟的基本原理,掌握数字电路基础知识;2. 学会使用集成门电路设计简单的数字电路,并能正确读取数字时钟电路图;3. 掌握数字时钟各模块(如秒脉冲发生器、计数器、译码器等)的功能及相互关系。
技能目标:1. 能够运用所学知识,设计并搭建一个简易的数电数字钟;2. 培养学生动手实践能力,学会使用相关仪器、工具进行电路连接和调试;3. 提高学生的问题分析和解决能力,能够针对数字时钟故障进行排查和修复。
情感态度价值观目标:1. 激发学生对电子技术的兴趣,培养创新意识和团队合作精神;2. 培养学生严谨、细心的学习态度,养成良好的学习习惯;3. 增强学生对科技发展的关注,认识数字电路在实际应用中的价值。
分析课程性质、学生特点和教学要求,本课程目标旨在使学生在掌握数字电路基础知识的基础上,通过实际操作和设计,提高实践能力和创新意识,培养团队合作精神。
课程目标具体、可衡量,便于教师进行教学设计和评估。
在此基础上,将目标分解为具体的学习成果,为后续教学提供明确的方向。
二、教学内容1. 数字电路基础知识回顾:逻辑门电路、触发器、计数器等基本概念和工作原理。
2. 数字时钟原理:介绍数字时钟的构成、工作原理及各模块功能,如秒脉冲发生器、分频器、计数器、译码器等。
3. 教学案例:选用教材中相关的数字时钟案例,分析其电路原理和设计方法。
- 章节关联:第三章“组合逻辑电路”和第四章“时序逻辑电路”- 列举内容:3.2节“集成门电路”、4.3节“触发器”和4.4节“计数器”4. 实践操作:指导学生使用面包板、集成块等工具,搭建一个简易的数电数字钟。
- 进度安排:实践操作分为两个阶段,第一阶段为电路设计和搭建,第二阶段为电路调试和优化。
5. 故障排查与修复:教授学生针对数字时钟常见故障进行分析和解决的方法。
6. 课后拓展:引导学生关注数字电路在实际应用中的新技术和新发展。
教学内容根据课程目标进行选择和组织,确保科学性和系统性。
数字电子时钟设计
数字电子时钟设计数字电子时钟是一种简单易用、精度高、使用方便的时钟仪器。
在现代化的生活中,数字电子时钟已经成为人们生活和工作中不可缺少的一部分。
本文将介绍数字电子时钟的设计及其原理。
1. 数字电子时钟的结构数字电子时钟一般由数字显示器、电源、时钟芯片、振荡电路和控制电路等几个部分组成。
数字显示器:数字电子时钟采用的是七段数码管作为显示器,显示出当前时刻的时间。
电源:数字电子时钟的电源一般采用直流电源,可以通过普通的插座或者电池供电。
时钟芯片:时钟芯片是数字电子时钟的核心部分,可以提供高精度的时钟信号,并且可以根据用户设置的时间来进行计时。
振荡电路:振荡电路是数字电子时钟的发挥器,用于产生一个稳定的高精度的时钟信号。
控制电路:控制电路主要用于对数字电子时钟进行各种设置,并且可以控制数字电子时钟的各种功能。
2. 数字电子时钟的操作原理数字电子时钟的操作原理是通过时钟芯片来实现的。
时钟芯片可以提供一个高精度的时钟信号,这个时钟信号可以被控制电路所接收,并且控制电路可以将这个信号转化为秒、分、时等时间单位。
随着科技的发展,数字电子时钟的精度越来越高,可以达到秒级甚至毫秒级的精度。
这些高精度的时钟芯片可以通过电子时钟所连接的振荡电路来产生非常稳定的时钟信号。
3. 数字电子时钟设计的技术要求数字电子时钟的设计需要考虑以下几个方面的技术要求:(1)高精度的时钟信号数字电子时钟的时钟信号需要具有高精度,通常要求时钟误差不超过几秒钟。
这就需要时钟芯片具有非常高的精度的时钟信号源,同时还需要连接高精度的振荡电路。
(2)显示效果清晰明了数字电子时钟的显示效果要求非常的清晰明了,这就需要采用高质量的七段数码管,并且数量要足够,以显示出完整的时间信息。
(3)快速响应、稳定性好由于数字电子时钟是人们生活和工作中不可缺少的一部分,因此数字电子时钟的响应速度和稳定性也非常的重要,需要在设计时特别注重。
4. 数字电子时钟的优点和缺点数字电子时钟有以下几个优点:(1)高精度稳定数字电子时钟可以提供高精度的时钟信号,并且可以保持这个时钟信号的稳定性,误差范围非常小。
多功能数字钟—数电课程设计报告
1.设计任务与要求1.1产生1HZ的脉冲;1.2能显示时,分,秒,24小时进制;1.3可手动校正:能分别进行分、时的校正。
只要将开关置于手动位置。
可分别对分、时进行连续脉冲输入调整;1.4整点报时。
2.系统原理框图由振荡器输出稳定的高频脉冲信号作为时间基准,秒计数器满60向分计数器进位,分计数器满60向小时计数器进位,小时计数器按“24翻1”规律计数,计数器经译码器送到显示器;计数出现误差可用校时电路进行校时、校分、校秒,可发挥部分:使数字钟具有可整点报时与定时闹钟的功能。
数字钟的结构框图如图1所示图1数字钟的结构框图3.设计方案与论证3.1时间脉冲产生电路方案一:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。
555与RC振荡电路如图2所示图1 555与RC组成的多谐振荡器图方案二:振荡器是数字钟的核心。
振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路。
石英晶体振荡器的作用是产生时间标准信号。
因此,一般采用石英晶体振荡器经过分频得到这一时间脉冲信号。
石英晶体振荡电路如图3所示图 2 石英晶体振荡器图方案三:由集成逻辑门与RC组成的时钟源振荡器门电路组成的振荡电路如图4所示图 3 门电路组成的多谐振荡器图用555组成的脉冲产生电路: R1=47kΩ,R2=47kΩ,C=10μF,则555所产生的脉冲的为:f=1/[(R1+2*R2)CLn2=1Hz,而设计要求为1Hz,在精度要求不是很高的时候可以使用。
石英晶体振荡电路:采用的32768晶体振荡电路,其频率为32768Hz,然后再经过15分频电路可得到标准的1Hz的脉冲输出.R的阻值,对于TTL门电路通常在0.7~2KΩ之间;对于CMOS门则常在10~100MΩ之间。
由门电路组成的多谐振荡器的振荡周期不仅与时间常数RC有关,而且还取决于门电路的阈值电压VTH ,由于VTH容易受到温度、电源电压及干扰的影响,因此频率稳定性较差,只能用于对频率稳定性要求不高的场合。
数电课程设计报告(数字钟的设计)
数电课程设计报告第一章设计背景与要求设计要求第二章系统概述2.1设计思想与方案选择2.2各功能块的组成2.3工作原理第三章单元电路设计与分析3.1各单元电路的选择3.2设计及工作原理分析第四章电路的组构与调试4.1遇到的主要问题4.2现象记录及原因分析4.3解决措施及效果4.4功能的测试方法,步骤,记录的数据第五章结束语5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会附图(电路总图及各个模块详图)参考文献第一章设计背景与要求一.设计背景与要求在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。
数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。
数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。
设计一个简易数字钟,具有整点报时和校时功能。
(1)以四位LED数码管显示时、分,时为二十四进制。
(2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。
(3)整点报时采用蜂鸣器实现。
每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。
(4)才用两个按键分别控制“校时”或“校分”。
按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。
二.设计要求电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。
在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选择课题、电子电路设计、组装、调试和编写总结报告等实践内容。
通过本次简易数字钟的设计,初步掌握电子线路的设计、组装及调试方法。
即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求。
数字电子技术课程设计——数字钟
数字电子技术课程设计——数字钟一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,和机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计和制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习和掌握各种组合逻辑电路和时序电路的原理和使用方法.二、设计要求(1)设计指标①时间以12小时为一个周期;②显示时、分、秒;③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;⑤为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。
(2)设计要求①画出电路原理图(或仿真电路图);②元器件及参数选择;③电路仿真和调试;④PCB文件生成和打印输出。
(3)制作要求自行装配和调试,并能发现问题和解决问题。
(4)编写设计报告写出设计和制作的全过程,附上有关资料和图纸,有心得体会。
三、原理框图1.数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
由于计数的起始时间不可能和标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟。
(a)数字钟组成框图2.晶体振荡器电路晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。
不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。
一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。
数电课程设计多功能数字钟
数电课程设计多功能数字钟一、课程目标知识目标:1. 让学生理解数字电路基础知识,掌握组合逻辑电路和时序逻辑电路的设计原理;2. 使学生掌握数字钟的组成、工作原理及功能,能运用所学知识设计多功能数字钟;3. 帮助学生掌握数字电路的测试方法,学会分析并解决数字电路故障。
技能目标:1. 培养学生运用所学知识,结合实际需求,设计具有一定功能的数字电路的能力;2. 培养学生动手操作、调试和优化数字电路的技能;3. 培养学生运用EDA工具(如Multisim、Protel等)进行电路设计、仿真和测试的能力。
情感态度价值观目标:1. 培养学生对数字电路和电子技术的兴趣,激发学生探索科学技术的热情;2. 培养学生严谨、务实的学习态度,养成团队合作、互相学习的良好习惯;3. 培养学生关注社会发展,认识到电子技术在日常生活和国家建设中的重要作用。
课程性质分析:本课程为电子技术专业课程,旨在让学生掌握数字电路的基本原理和设计方法,通过设计多功能数字钟,提高学生的实践能力和创新能力。
学生特点分析:学生已具备一定的电子技术基础,具有较强的学习兴趣和动手能力,但部分学生对数字电路的原理和应用尚不熟悉。
教学要求:1. 结合课本内容,注重理论与实践相结合,提高学生的实际操作能力;2. 突出重点,分步骤讲解,确保学生掌握数字电路设计的基本方法;3. 注重培养学生的创新思维和团队合作精神,提高学生的综合素质。
二、教学内容1. 数字电路基础知识回顾:组合逻辑电路、时序逻辑电路的原理与设计方法,数字电路常用器件的特性和应用。
2. 数字钟原理及功能:讲解数字钟的组成、工作原理,介绍秒、分、时显示功能及闹钟、定时器等拓展功能。
3. 多功能数字钟设计:引导学生运用所学知识,结合实际需求,设计具有基本时间显示和至少一项拓展功能的数字钟。
a. 电路图设计:使用EDA工具绘制电路图;b. 电路仿真:运用EDA工具对设计电路进行功能仿真;c. 硬件制作:根据电路图焊接元器件,制作数字钟;d. 调试优化:对制作完成的数字钟进行调试,确保其正常运行。
数字钟电路设计(闪烁整点报时)(数电)
数字钟电路设计电气工程及其自动化苏盛指导老师曾繁政【引言】电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。
因此,时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。
【内容摘要】数字时钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
振荡器是数字时钟的核心,选用555定时器构成振荡器电路。
以计数器74LS90来实现时间计数单元的计数功能。
显示译码器74LS48将输入的8421BCD码转化成驱动数码管发光的高、低电平信号,驱动数码显示出不同的六、十和二十四进制数字符。
用门电路实现校时及整点报时电路。
时间以24小时为一周期。
【关键词】数字时钟,振荡器,校时,整点报时一、方案设计与论证论文采取理论分析和实践研究相结合的研究方案。
在理论分析上,论文主要结合数字电路的知识,涉及数字时钟电路的结构和原理分析;在实验验证方面,采用计算机模拟和实物实践的方法,应用PROTEL软件进行电路图设计和PCB的制作,使用元器件完成电路实物的安装,利用电子辅助工具对实物进行调试。
此方案已在毕业设计制作过程中得到论证。
(一)、设计目的数字时钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,节省了电能。
因此得到了广泛的使用。
数字时钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。
通过设计加深对刚刚学习了的数字电子技术的认识。
我们此次设计数字时钟是为了了解数字时钟的原理,加深对我们所学知识的了解和认识、以及知识迁移的能力。
而且通过数字时钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。
且由于数字时钟包括组合逻辑电路和时叙电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法,以及各种电路之间的怎样联系起来的。
数字时钟设计(完全数字电路)
数字时钟设计姓名学号专业电子信息技术指导教师成绩日期基于555的数字时钟显示摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,通过555定时器改装的多谐震荡器发出的脉冲频率具有一定的准确性。
在这次设计中对分频器、计数器、、译码器和显示器进行研究编译,并完成了各种器件的编译工作,实现数字钟的功能。
有准确计时,以数字形式显示时、分、秒的时间和校时功能。
秒和校时功能都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。
在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。
并且要用数码管显示时、分、秒,各位均为两位显示。
1引言随着科技的快速发展,数字电子钟在实际生活中的应用越来越广泛,小到普通的电子表,大到航天器等高科技电子产品中的计时设备。
数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。
它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有整点报时附加功能。
因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、报时电路和振荡器组成。
作为电子技术的一名学生掌握并能够独立自主设计一个数字电子钟是必要和必须的,既可以加深对课本上理论知识的理解又能锻炼自己的思考和解决问题的能力。
于是,经过查阅许多相关书籍和浏览许多网络未找到目录项。
资源,我做了这款简单数字电子钟的设计。
2 方案论证2.1 原理设计和功能描述2.1.1 数字计时器的设计思想要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。
而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。
经过分频器输出的秒脉冲信号到计数器中进行计数。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程设计任务书
课题名称:数字时钟电路
设计内容与要求:
(1)准确计时,以数字形式显示时、分、秒的时间;
(2)具有手动校时、校分功能,分别可以对时和分进行校正;
(3)计时过程具有整点报时功能,当时间到达整点前60秒开始,蜂鸣器1秒响一次;
技术条件与要求:
(1)计时电路分和秒采取60进制,时采取24进制;
(2)整点报时电路采用了74LS20.
指导教师签名:教研室主任签名:讲师
发题日期:年月日完成日期:年月日
年月日
摘要
在生活中的各种场合经常要用到电子钟,现代电子技术的飞跃发展各类智能化产品脱颖而出,数字电路具有电路简单、可靠性高、成本低等优点,本设计重点以数字电路为核心设计智能电子钟。
本设计电路由计时电路、控制电路、显示电路等部分组成,在数码管上显示24小时计时的时刻,具有清零、保持、校时、报时功能。
关键字数字钟;计数器;显示器;校准;整点报时
目录
1 数字时钟概述 (4)
2 电路设计流程图 (5)
3 电路工作原理及分析 (6)
3.1核心芯片74LS90介绍 (6)
3.2计数电路设计与仿真 (8)
3.2.1 个位向十位进制的实现 (8)
3.2.2 六十进制的实现 (9)
3.2.3 秒向分进位的实现 (10)
3.2.4 计时电路的实现 (11)
3.3校时及整点报时电路的实现 (12)
1 数字时钟概述
数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性等优点,数字钟从原路上讲是一种典型的数字电路,其中包括了组合逻辑和时序逻辑。
数字钟是采用数字电路实现对时、分、秒数字显示的计时装置,广泛用于个人家庭办公、车站、办公室等公共场合,成为人们日常生活中不可缺少的物品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度远远超过老式钟表,钟表的数字化给人们生活带来了极大的方便,而且扩展了报时功能。
2 电路设计流程图
电路整体流程图如图2-1所示
如图2-1 流程图
3 电路工作原理及分析
3.1 核心芯片74LS90介绍
计数器的选择很多,常用的有同步十进制计数器74HC160以及异步二、五、十进制计数器74LS90。
这里选用74LS90芯片,74LS90引脚图如图3-1 所示。
如图3-1 74LS90引脚图如图3-2 十进制计数电路74LS90内部是由两部分电路组成的。
一部分是由时钟CKA与一位触发器Q0组成的二进制计数器,可计一位二进制数;另外一部分是由时钟CKB与三个触发器Q1、Q2、Q3组成的五进制异步计数器,可计五个数000~100。
如果把Q0和CKB 连接起来,CKB从Q0取信号,外部时钟信号接到CKA上,那么由时钟CKA和Q0、Q1、Q2、Q3组成十进制计数器。
如图3-2所示,十进制计数电路。
R0(1)和R0(2)是异步清零端,两个同时为高电平有效;R9(1)和R9(2)是置9端,两个同时为高电平时,Q3Q2Q1Q0=1001;正常计数时,必须保证R0(1)和R0(2)中至少一个接低电平,R9(1)和R9(2)中至少一个接低电平。
74LS90的功能如表3-3所示
如表3-3 74LS90功能表
74LS90内部原理如图3-4所示,这是一个异步时序电路。
图中的S1、S2对应于集成芯片的6、7管脚,R1、R2对应于集成芯片的2、3管脚,CP0对应于14管脚,CP1对应于12管脚,Q3、Q2、Q1、Q0分别对应于11、8、9、12管脚。
如图3-4 74LS90内部原理
3.2 计数电路设计与仿真
3.2.1 个位向十位进制的实现
计时电路共分三部分:计秒、计分和计时,其中计秒和计分都是60进制,而计时为24进制。
个位向十位的进位实现,原理图如图3-6所示用两片74LS90异步计数器接成一个异步的60进制计数器。
所谓异步60进制计数器,即两片74LS90的时钟不一致。
个位时钟为1Hz方波来计秒,十位计数器的时钟信号需要从个位计数器来提供。
进位信号的要求是在十个秒脉冲中只产生一个下降沿,且与第十秒的下降沿对齐。
只能从个位计数器的输出端来提供,不可能从其输入端来,而计数器的输出端只有Q0、Q1、Q2、Q3四个信号,要么是其中一个,要么是它们之间的逻辑运算结果。
如图 3-5 74LS90接成的个位计数器时序图如图 3-6 个位向十位进制
由于74LS90是在时钟的下降沿到来时计数,所以Q3正好符合要求,在十秒之内只给出一个下降沿,且与第十秒的下降沿对齐。
Q2虽然也只产生一个下降沿,但产生的时刻不对。
这样,个位和十位之间的进位信号就找到了,把个位的Q3(11端)连接到十位的CKA(14端)上。
当计秒到59时,希望回00。
此时个位正好是计满十个数,不用清零即可自动从9回0;十位应接成六进制,即从0~5循环计数。
用异步清零法,当6出现的瞬间,即Q3Q2Q1Q0=0110时,同时给R0(1)和R0(2)高电平,使这个状态变成0000,由于6出现的时间很短,被0取代,电路原理图如图3-7所示。
如图3-7 74LS90六十进制计数器
当十位计数到6时,输出0110,其中正好有两个高电平,把这两个高电平Q2和Q1分别接到74LS90的R0(1)和R0(2)端,即可实现清零。
一旦清零,Q2和Q1都为0,恢复正常计数,直到下次再同时为1。
计分电路和计秒电路是完全一致的,只是周期为1S的时钟信号改成了周期为60秒即1分的时钟信号。
计秒电路的关键问题是找到秒向分的进位信号。
当秒电路计到59秒时,产生一个高电平,在计到60时变为低电平,来一个下降沿送给计分电路做时钟。
计分电路在计到59时的十位和个位的状态分别为0101和1001,把这四个1与起来即可,即十位的Q2和Q0,个位的Q3和Q0,与的结果作为进位信号。
使用74LS20四入与非门串反相器构成与门,如图3-8所示
如图 3-8 计分电路
3.2.4 计时电路的实现
用两片74LS90实现二十四进制计数器,首先把两片74LS90都接成十进制,并且两片之间连接成具有十的进位关系,即接成一百进制计数器,然后在计到24时,十位和个位同时清零。
计到24时,十位的Q1=1,个位的Q2=1,应分别把这两个信号连接到双方芯片的R0(1)和R0(2)端。
如个位的Q2接到两个74LS90的R0(1)清零端,十位的Q1接到两个74LS90的R0(2)清零端。
计时电路的个位时钟信号来自秒、分电路产生59分59秒两个信号相与的结果,如图3-9所示。
如图3-9 计时电路
3.3 校时及整点报时电路的实现
校时电路主要完成校分和校时。
选择校分时,拨动一次开关,分自动加一;选择校时时,拨动一次开关,小时自动加一。
校时校分应准确无误,能实现理想的时间校对。
校时校分时应切断秒、分、时计数电路之间的进位连线,校时电路如图3-10所示。
报警电路由四输入与门及非门构成,当分钟十位为5,分钟个位为9即分钟为0101、1001时第一个74LS20输出低电平经过74LS04输出高电平;当秒钟十位为5个位为0时即秒钟为0101、0001时第二个74LS20输出低电平;当分钟为59秒钟为50时电路实现报警功能,报警时间为10s,报警电路如图3-11所示。
如图3-10 校时电路如图3-11 报警电路
参考文献
[1]马俊兴,数字电子技术(第一版)哈尔滨工业大学出版社,2011.
[2]吴舒萍,电路基础 (第一版) 哈尔滨工业大学出版社,2012.
附图。