电子系统设计与实践报告材料
电子系统训练实习报告
摘要:本报告记录了我在电子系统训练实习期间的学习经历和实践成果。
通过对电子系统的基础知识学习、实际操作和问题解决,我不仅巩固了理论知识,还提升了实践能力。
本文将详细介绍实习过程、所学知识和心得体会。
一、实习目的与时间实习目的:1. 巩固和拓展电子系统基础知识;2. 掌握电子系统的基本设计、调试和维修技能;3. 提高团队合作和问题解决能力。
实习时间:2023年X月X日至2023年X月X日,共计两周。
二、实习地点与单位实习地点:XX电子科技有限公司实习单位:电子系统研发部三、实习内容与过程1. 理论学习:在实习初期,我们系统学习了电子系统的基础知识,包括电路原理、电子元件、电子电路设计、PCB设计等。
通过学习,我们对电子系统的组成、工作原理和设计方法有了初步的了解。
2. 实际操作:在理论学习的基础上,我们参与了电子系统的实际操作训练。
主要包括以下内容:- 电路焊接:学习使用电烙铁、焊锡丝等工具进行电路焊接,掌握焊接技巧和注意事项。
- PCB设计与制作:使用Altium Designer等软件进行PCB设计,并制作出符合要求的PCB板。
- 电路调试:对焊接完成的电路进行调试,解决电路故障,确保电路正常工作。
3. 问题解决:在实习过程中,我们遇到了各种问题,如电路设计不合理、元件损坏、焊接不良等。
通过查阅资料、请教同事和团队合作,我们成功解决了这些问题,提高了问题解决能力。
四、实习心得与体会1. 理论与实践相结合:通过实习,我深刻体会到理论与实践相结合的重要性。
理论知识为我们提供了解决问题的思路,实际操作则帮助我们巩固知识,提高技能。
2. 团队合作:在实习过程中,我们经常需要团队合作解决问题。
这使我认识到团队合作的重要性,学会了与他人沟通、协作和共同进步。
3. 持续学习:电子系统领域发展迅速,新技术、新方法层出不穷。
在实习过程中,我意识到持续学习的重要性,将不断努力提高自己的专业素养。
五、对母校教学实习工作的建议1. 加强实习基地建设,提供更多优质的实习机会;2. 完善实习指导,提高实习效果;3. 加强与企业合作,拓宽实习领域。
电子系统设计创新与实践实习报告
电子系统设计创新与实践实习报告——数控直流电流源制作学院:信息科学与工程学院班级:通信工程09-1姓名:学号:指导老师:摘要此次实习我的选题为数控直流源的设计与制作,我们小组在参考传统电流源以及普通数控电流源的基础上,在充分考虑性价比的同时提高数控电流源的准确性,再通过软件控制来实现数控直流源的工作。
本系统主要由直流电流源和单片机控制系统两部分组成。
直流电流源采用连续调整型恒流源,电源电路分为四个模块电路:比较放大器、MOS型调整管、采样电阻和负载。
根据题目要求,我们采用的是8位A/D转换芯片ADC0832,8位D/A转换芯片DAC0832,通过AT89C52单片机控制系统进行校正,同时它还负责键盘输入和LCD显示功能,人机界面友好。
关键字:直流源、AT89C52、DAC0832、ADC0832、LCD1602一、数控电流源简介所谓恒流源就是输出电流极其稳定不随负载变化。
为了保证电流不变,输出电压必须始终符合V=I*R。
即负载需要多大电压,恒流源就必须输出多大电压,“无条件”予以满足。
负反馈的作用就是“使之稳定”。
通过时刻“检查”控制对象的状态,并进行调整。
发现小了,就设法使之增大,发现大了,就设法使之减小。
形象地说,电流负反馈电路则是采样输出电流,计算误差,据此调节自身状态,使输出电流稳定,因而,输出特性接近恒流源。
随着电子技术的不断进步,对电子仪器的要求也不断提高。
电源作为电路的动力源泉更是扮演着越来越重要的角色,然而传统的电流源不论是在控制精度还是输出特性上都无法满足要求。
再者单片机技术的不断发展和D/A,A/D技术的不断成熟使得数控电源成为可能,数控电流源不论是在控制精度还是在可操作性上都有传统电源无法比拟的优势。
二、设计任务及要求1.设计任务: 设计并制作数控直流电流源。
输入交流36V,50HZ;输出直流电压<=10V。
其原理示意图如下:2.设计要求1)输出电流范围:200mA~2000mA;2)可设置并显示输出电流给定值,要求输出电流与给定值偏差的绝对值≤给定值的1%+10 mA;3)具有“+”、“-”步进调整功能,步进≤10mA;4)改变负载电阻,输出电压在10V以内变化时,要求输出电流变化的绝对值≤输出电流值的1%+10 mA;5)纹波电流≤2mA;三、数控电流源硬件系统整体设计AT89C52单片机、A/D、D/A芯片,键盘,LCD,显示器构成系统的控制电路;比较放大器、负载、调整管及采样单元构成恒流源电路。
电子系统设计与工程实践报告
课程设计报告题目电子系统设计课程名称电子系统设计与工程实践院部名称电子与信息工程学院专业电子信息工程班级电子1001班学生姓名李盖(1010910101)指导教师张诚目录摘要 (3)1 设计要求 (3)2 总体设计 (3)2.1系统组成框图 (3)2.2 系统工作原理 (3)3 硬件设计 (4)3.1方波发生电路设计 (4)3.2 滤波电路设计 (4)3.3 放大电路的设计 (4)3.4 加法器的的设计 (5)3.5滤波整形电路设计 (5)3.6功率放大电路设计 (6)4 调试及结果 (6)信号处理系统的设计完成人:李盖(电子1001班)摘要:随着社会的快速发展信息的传输在社会生活的各个领域占据着重要的地位,因此,信号的处理就占据着重要的地位。
这个课题的设计目的是传输1khz 的信号,以20khz 的信号做噪声信号,经过滤波整形和功率放大得到要传输的信号。
其中1khz ,20khz 信号由555定时器构成的多谐振荡器产生,1khz 的信号经过由运放构成的低通滤波器滤波之后经过加法器有衰减放大之后的噪声信号相加,再经过滤波整形之后得到要传输的信号,经过单管放大器之后最终得到所需要的信号。
作品主要由电源部分、信号发生部分、信号处理部分。
我们综合各种因素合理选取了所需要的原件,又经过精心布局和走线最终完成了作品。
1 设计要求主要完成电源、1khz 和20khz 方波的发生、衰减器、放大器、加法器、低通滤波器、功率放大器、比较器等模块的设计。
系统以实现传输1khz 的信号为目的,电源为整个系统供电,1khz ,20khz 信号由555定时器构成的多谐振荡器产生,1khz 的信号经过由运放构成的低通滤波器滤波之后经过加法器模拟产生干扰,与衰减放大之后的噪声信号相加,再经过滤波整形之后得到要传输的信号,经过单管放大器之后最终得到所需要的信号。
2 总体设计2.1系统组成框图2.2 系统工作原理本系统属于多种单个芯片构成的数据处理系统,主要由信号发生、信号处理、信号放大等子系统相互联接组成,具有在信号干扰存在的情况下实现1KHz 方波发生器20KHz 方波发生器1KHz 低通滤波器10KHz 低通滤波器衰减器100mVppTTL 电平TTL 电平放大器100mV ~3VA+B滤波整形电路功放电路负载600欧AB 供电电源0~3V ,1K 方波0~9V 方波信号的无失真传输和放大。
电子系统设计创新与实践实习报告
开关稳压电源的设计一、引言【1】.设计要求输入220V,50Hz交流;在电阻负载条件下,设计一个稳压电源使电源满足下述要求:1、输出电压UO可调范围:30V~36V;2、最大输出电流IOmax:2A;3、U2从15V变到21V时,电压调整率SU≤2%(IO=2A);4、IO从0变到2A时,负载调整率SI≤5%(U2=18V);5.输出噪声纹波电压峰-峰值UOPP≤1V(U2=18V,UO=36V,IO=2A);6.DC-DC变换器的效率≥70%(U2=18V,UO=36V,IO=2A)【2】设计系统特点本系统的设计电路由AC-DC变换电路、DC-DC变换电路、设定与显示电路、保护和测量电路等四部分组成。
AC-DC变换电路:由自耦变压器、隔离变压器、桥式整流堆、和滤波电容等元件组成,可以在隔离变压器副边输出15~21V的电压,滤波后给DC-DC提供直流电压。
DC-DC变换电路:采用Boost 型拓扑结构,含有运放构成的固定频率脉宽调制电路,快速场效应管、电感等。
本系统通过调节取样电阻形成闭环反馈回路,采样康铜丝上的电压反馈为输入端。
本系统具有调整速度快,精度高,电压调整率低,负载调整率低,效率高,无需另加辅助电源板,输出纹波小等优点。
二、课题设计随着社会飞速前进,用电设备与日俱增。
但电力输配设施的老化和发展滞后,以及设计不良和供电不足等原因造成末端用户电压的过低,而线头用户则经常电压偏高。
对用电设备特别是对电压要求严格的高新科技和精密设备,犹如没有上保险。
不稳定的电压会给设备造成致命伤害或误动作,影响生产,造成交货期延误、质量不稳定等多方面损失。
同时加速设备的老化、影响使用寿命甚至烧毁配件,使业主面临需要维修的困扰或短期内就要更新设备,浪费资源;严重者甚至发生安全事故,造成不可估量的损失。
优点:[1].功耗小,效率高。
在图1中的开关稳压电源电路中,晶体管V在激励信号的激励下,它交替地工作在导通—截止和截止—导通的开关状态,转换速度很快,频率一般为50kHz左右,在一些技术先进的国家,可以做到几百或者近1000kHz 。
电子系统设计实验报告
实验报告格式要求二.实验目的、任务和要求:本实验要求设计SCI串行接口芯片, 其功能包括串行及并行数据的接收和互相转换。
三.实验系统结构设计分析1.模块划分思想和方法:该芯片需根据功能分为串并转换电路和并串转换电路两部分。
实现串并转换的关键器件就是移位寄存器, 其功能可以使串行输入的数据先寄存到一个位矢量中, 等到一组数据全部输入完毕后再一起处理, 并行输出。
而实现并串转换的关键器件是锁存器, 它可以将并行输入的数据先锁存起来, 再一位一位的转化成串行数据。
计数器在这一芯片中也起到了重要作用, 因为计数器可以产生时间脉冲的分频, 用于配合时间脉冲控制各器件的工作。
2.各模块引脚定义和作用.串并电路:输入: rxd读入数据, clk系统时钟, reset计数器复位端, rd读入控制四进制计数器:C4四分频十进制计数器:Count_10计数分量, C10四十分频(c4的十分频)移位寄存器:Read读入数据, d0~d9并行输出(d0起始端, d1~d8数据端, d9校验位(本实验中不起作用))锁存器:K0~k7数据位状态发生器:RdST读入状态(0为读入, 1为寄存器已满)四.实验代码设计以及分析:1.给出模块层次图;2.按模块完成的代码及注释.USE IEEE.STD_LOGIC_1164.ALL;ENTITY SCI ISPORT(cs,rxd,clk,SCIrd,reset,SCIwr,in7,in6,in5,in4,in3,in2,in1,in0: IN STD_LOGIC;rdFULL,tdEMPTY,c4:buffer std_logic;e7,e6,e5,e4,e3,e2,e1,e0,wxd:OUT STD_LOGIC);END SCI;ARCHITECTURE WORK OF SCI ISSIGNAL wr,rd,read,c10,d9,d8,d7,d6,d5,d4,d3,d2,d1,d0,k7,k6,k5,k4,k3,k2,k1,k0,mid: STD_ULOGIC;SIGNAL wri : STD_LOGIC_vector(7 downto 0);SIGNAL count_10 ,counter_8:std_logic_vector(3 downto 0);BEGINPROCESS(cs)BEGINrd<=cs OR SCIrd;wr<=cs OR SCIwr;END PROCESS;//注释: 片选输入, cs=1时, 串入并出为“写”, 并入串出为“读”;cs=0时, 串入并出为“读”, 并入串出为“写”;PROCESS(rxd)BEGINread<=rxd;END PROCESS;PROCESS(clk)VARIABLE count_4 : STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINIF(clk'EVENT AND clk='1')THENIF(count_4="00")THENcount_4 := "01";c4 <= '1';ELSIF(count_4="01")THENcount_4 := "11";c4 <= '1';ELSIF(count_4="11")THENcount_4 := "10";c4 <= '0';ELSIF(count_4="10")THENcount_4 := "00";c4 <= '0';END IF;END IF;END PROCESS;PROCESS(c4)BEGINIF(c4'EVENT AND c4='1')THENIF(rd='1')THENd0<=read;d1<=d0;d2<=d1;d3<=d2;d4<=d3;d5<=d4;d6<=d5;d7<=d6;d8<=d7;d9<=d8;END IF;END IF;END PROCESS;PROCESS(c4,reset,rd)BEGINIF(reset='0' OR rd='0')THEN count_10<="0000";c10 <= '0';ELSIF(c4'EVENT AND c4='1')THENIF(count_10="0000" AND rxd='1' AND rdFULL='0')THEN count_10 <= "0001";c10 <= '0';ELSIF(count_10="0001")THENcount_10 <= "0010";c10 <= '0';ELSIF(count_10="0010")THENcount_10 <= "0011";c10 <= '0';ELSIF(count_10="0011")THENcount_10 <= "0100";c10 <= '0';ELSIF(count_10="0100")THENcount_10 <= "0101";c10 <= '0';ELSIF(count_10="0101")THENcount_10 <= "0110";c10 <= '0';ELSIF(count_10="0110")THENcount_10 <= "0111";c10 <= '0';ELSIF(count_10="0111")THENcount_10 <= "1000";c10 <= '0';ELSIF(count_10="1000")THENcount_10 <= "1001";c10 <= '0';ELSIF(count_10="1001")THENcount_10 <= "1010";c10 <= '0';ELSIF(count_10="1010")THENcount_10 <= "1011";c10 <= '1'; END IF;END IF;END PROCESS;PROCESS(c10)BEGINIF(c10'EVENT AND c10='1')THENk7<=d8;k6<=d7;k5<=d6;k4<=d5;k3<=d4;k2<=d3;k1<=d2;k0<=d1;END IF;END PROCESS;PROCESS(rd)BEGINIF(rd='0')THENe7<=k7;e6<=k6;e5<=k5;e4<=k4;e3<=k3;e2<=k2;e1<=k1;e0<=k0;END IF;END PROCESS;PROCESS(rd,c10)BEGINIF(rd='0')THEN rdFULL<='0';ELSIF(c10='1')THENrdFULL<='1';ELSE rdFULL<='0';END IF;END PROCESS;process(wr)beginif(wr='0')thenwri(0)<=in0;wri(1)<=in1;wri(2)<=in2;wri(3)<=in3;wri(4)<=in4;wri(5)<=in5;wri(6)<=in6;wri(7)<=in7;end if;end process;process(c4)beginif(c4'event and c4='1')thenif(wr='0')thencounter_8<="0000";elsif(wr='1' and counter_8="0000")then counter_8<="0001"; elsif(counter_8="0001")then counter_8<="0010";elsif(counter_8="0010")then counter_8<="0011";elsif(counter_8="0011")then counter_8<="0100";elsif(counter_8="0100")then counter_8<="0101"; elsif(counter_8="0101")then counter_8<="0110";elsif(counter_8="0110")then counter_8<="0111";elsif(counter_8="0111")then counter_8<="1000";elsif(counter_8="1000")then counter_8<="1001";end if;end if;end process;process(wr,counter_8)beginif(wr='1' and counter_8="1001")thenmid<='1';tdEMPTY<='1';elsif(wr='0')thenmid<='0';tdEMPTY<='0';end if;end process;process(counter_8)beginif(wr='0' or mid='1')thenwxd<='0';elsif(wr='1' and mid='0')thenif(counter_8="0001")thenwxd<=wri(0);elsif(counter_8="0010")thenwxd<=wri(1);elsif(counter_8="0011")thenwxd<=wri(2);elsif(counter_8="0100")thenwxd<=wri(3);elsif(counter_8="0101")thenwxd<=wri(4);elsif(counter_8="0110")thenwxd<=wri(5);elsif(counter_8="0111")thenwxd<=wri(6);elsif(counter_8="1000" )thenwxd<=wri(7);end if;end if;end process;END WORK;五.仿真图(输入输出波形)以及分析:六.实验问题分析和经验总结:在该实验的设计中, 我们发现时序逻辑中最重要的部分就是时间信号对各进程的控制, 因为为了保持各进程在时间上的同步性和正确性, 需要用一个或几个相关联的时间信号来控制各进程。
电子系统设计实验报告
电子系统设计实验报告电子系统设计实验报告引言:电子系统设计是现代科技领域中非常重要的一部分,它涉及到电子元件、电路设计、信号处理等多个方面的知识。
本次实验旨在通过设计一个简单的电子系统来加深对电子系统设计的理解和掌握。
实验目的:本次实验的目的是设计一个基于Arduino的温度监测系统。
通过该系统,能够实时监测环境温度并将数据显示在LCD屏幕上。
实验器材:1. Arduino开发板2. 温度传感器3. LCD显示屏4. 连接线等实验步骤:1. 首先,将温度传感器与Arduino开发板连接。
将传感器的VCC引脚连接到5V引脚,GND引脚连接到GND引脚,将信号引脚连接到Arduino的A0引脚。
2. 接下来,连接LCD显示屏。
将显示屏的VCC引脚连接到5V引脚,GND引脚连接到GND引脚,将SDA引脚连接到A4引脚,SCL引脚连接到A5引脚。
3. 在Arduino开发环境中编写代码。
首先,需要包含所需的库文件,如LiquidCrystal_I2C库和Wire库。
然后,定义温度传感器引脚和LCD显示屏的相关参数。
接着,在setup函数中初始化LCD显示屏,并设置显示屏的列数和行数。
在loop函数中,通过调用温度传感器库函数获取环境温度,并将其显示在LCD屏幕上。
4. 将Arduino开发板与电脑连接,并上传代码到开发板上。
5. 实验完成后,观察LCD屏幕上的温度显示,确保温度监测系统正常工作。
实验结果:经过实验,我们成功设计并实现了一个基于Arduino的温度监测系统。
该系统能够准确地测量环境温度,并将数据实时显示在LCD屏幕上。
通过该系统,我们可以方便地监测环境温度的变化。
实验总结:通过本次实验,我们对电子系统设计有了更深入的了解。
我们学会了如何使用Arduino开发板和相关传感器进行电子系统的设计。
同时,我们也掌握了如何编写代码并将其上传到开发板上。
这些技能对于今后从事电子系统设计工作将非常有帮助。
电子系统制作实习报告
一、实习背景随着科技的不断发展,电子技术在各个领域的应用越来越广泛。
为了提高自己的实践能力,我选择了电子系统制作实习。
本次实习主要在XX电子科技有限公司进行,为期一个月。
二、实习目的1. 了解电子系统的基本组成和原理;2. 掌握电子系统的设计、制作和调试方法;3. 培养动手能力和团队协作精神;4. 提高对电子行业的认识。
三、实习内容1. 电子系统基础知识学习在实习初期,我学习了电子系统的基础知识,包括电路原理、元器件特性、电路分析方法等。
通过学习,我对电子系统的基本组成和原理有了初步的认识。
2. 电子系统设计在掌握了基础知识后,我开始参与电子系统的设计。
首先,我们根据实际需求,确定了电子系统的功能和技术指标。
然后,根据这些指标,我选择了合适的元器件,并设计了电路图。
在设计过程中,我遇到了很多问题,但在导师和同事的帮助下,我逐渐克服了困难。
3. 电子系统制作在电路图设计完成后,我开始了电子系统的制作。
首先,我按照电路图焊接元器件,注意了焊接质量和工艺。
在焊接过程中,我学会了如何识别元器件、如何使用焊接工具等。
其次,我进行了电路板的设计和制作,学会了如何使用电路板制作工具。
最后,我组装了整个电子系统,并进行了测试。
4. 电子系统调试在电子系统制作完成后,我进行了调试。
首先,我检查了电路的连通性,确保没有短路或断路。
然后,我进行了功能测试,验证了电子系统的各项功能是否符合要求。
在调试过程中,我学会了如何使用测试仪器,如何分析问题并解决问题。
5. 团队协作与沟通在实习过程中,我与团队成员进行了密切的沟通和协作。
我们共同商讨设计方案,共同解决制作过程中遇到的问题。
通过团队协作,我学会了如何与他人沟通、如何分工合作。
四、实习收获1. 提高了电子系统设计、制作和调试的能力;2. 学会了电路原理、元器件特性和电路分析方法;3. 培养了动手能力和团队协作精神;4. 增强了对电子行业的认识。
五、实习体会1. 理论与实践相结合:在实习过程中,我深刻体会到理论与实践相结合的重要性。
电子系统设计创新与实践实验报告
电子系统设计创新与实践报告—简易红外遥控系统班级:通信09-1姓名:何探学号:3090731126指导老师:李新1 任务设计并制作红外遥控发射机和接收机。
2 要求(1 )自制红外无线收、发器,可以上电工作。
(2 )调制方式:自选编码调制方式。
(3 )遥控对象:4 个,被控设备用LED 分别代替,LED 发光表示工作。
(4 )接收机距离发射机不小于1m。
(5 )具有红外信号学习功能。
3 系统方案设计框图如下:红外遥控有发送和接收两个组成部分:发送端采用单片机将待发送的二进制信号编码调制为一系列的脉冲串信号,通过红外发射管发射红外信号。
红外接收端普遍采用价格便宜,性能可靠的一体化红外接收头(如HSOO38,它接收红外信号频率为38KHz,周期约26US)接收红外信号,它同时对信号进行放大、检波、整形,得到相应电平的编码信号,再送给单片机,经单片机解码并执行,去控制相关对象。
(1)二进制信号的编码本设计采用不同的脉宽宽度来实现二进制信号的编码,可由发送单片机来完成。
用图2-2(a)表示二制信号中的高电平‘1’,其特征是脉冲中低电平的宽度等于0.26ms,相当于10个26us的宽度,高电平的宽度等于0.52ms,相当于20个26us的宽度;用图2-2(b)表示二进制信号中的低电平‘0’,其特征是脉冲中高电平的宽度等于0.26mS,而低电平的宽度是高电平的二倍,等于0.52ms,相当于20个26us的宽度。
上述10个和20个脉冲宽度还可适当调整,以适应不同数据传输速度的需要。
(2)二进制信号的调制二进制信号的调制仍由发送单片机来完成,它把编码后的二进制信号调制成频率为38KHz 的间断脉冲串,相当于用二进制信号的编码乘以频率为38KHz 的脉冲信号得到的间断脉冲串,即是调制后用于红外发射二极管发送的信号。
如图2-3所示,A 是二进制信号的编码波形,B 是频率为38KHz(周期为26uS)的连续脉冲串,c 是经调制后的间断脉冲串(相当于C=A*B),用于红外发射二极管发送的波形。
电子系统综合设计与实践报告模板
电子系统综合设计与实践蜂鸣器乐曲演奏院(系)名称信息工程学院电子与通信工程系专业名称14通信工程设备学生姓名郑军强1301100094 王龙龙1301100080李帅指导教师石钦亮2016年12 月1日模拟风扇控制系统摘要电风扇简称电扇,香港称为风扇,日本及韩国称为扇风机,是一种利用电动机驱动扇叶旋转,来达到使空气加速流通的家用电器,主要用于清凉解暑和流通空气。
广泛用于家庭、办公室、商店、医院和宾馆等场所。
1882年,美国纽约的克罗卡日卡齐斯发动机厂的主任技师休伊•斯卡茨•霍伊拉,最早发明了商品化的电风扇。
如今的电风扇已一改人们印象中的传统形象,在外观和功能上都更追求个性化,而电脑控制、自然风、睡眠风、负离子功能等这些本属于空调器的功能,也被众多的电风扇厂家采用,并增加了照明、驱蚊等更多的实用功能。
这些外观不拘一格并且功能多样的产品,预示了整个电风扇行业的发展趋势。
其主要原因:一是风扇和空调的降温效果不同——空调有强大的制冷功能,可以快速有效地降低环境温度,但电风扇的风更温和,更加适合老人儿童和体质较弱的人使用;二是电风扇有价格优势,价格低廉而且相对省电,安装和使用都非常简单。
关键词:STC89S51,直流电机,数码管,138译码器等.目录引言 (4)1、系统方案 (4)2、设计任务及要求 (5)1、工作模式 (5)2、数码管显示 (5)3、按键控制 (6)3.1 S4控制风扇工作模式 (6)3.2 S5定时器 (7)3.3 S6 停止 (9)3、重点、难点 (9)4.结束语 (9)参考文献 (10)引言电风扇是一种利用电动机驱动扇叶旋转,来达到时空气加速流通的家用电器,主要用于清凉解暑和流通空气,广泛用于家庭,办公室,商店,医院等场所。
如今的电风扇也一改人们印象中的传统形象,在外观和功能上都更追求个性化,人性化,也增加了照明,驱蚊等更多的实用功能。
电风扇曾一度被认为是空调产品冲击下的淘汰品,其实并非如此,家用电风扇并没有随着空调的普及而消失于市场,近年来反而出现了市场销售复苏的趋势。
电子系统设计与实践报告书
电子系统设计与实践实验报告书评分:学院年级与专业学生姓名学号实习地点:第二基础实验楼实习时间:周上(下)午(晚)A513、A512、A112、A1102018 年月日一、实验目的与要求:1、通过课程学习,使学生掌握电子系统的设计方法和制作调试技能,学习并掌握Multisim, QuartesII等EDA软件工具进行电路设计的能力。
学习并掌握自顶向下的系统设计方法,特别要学会运用VHDL语言或Verilog语言在FPGA中设计数字电路,熟悉各种软件和硬件等功能模块,并应用这些模块来设计制作电子系统。
通过设计制作一个程控放大器,让学生学会设计、搭试、构建、调试、测试电路的方法。
2.学会使用电烙铁进行手工拆卸、焊装电子设备的技能。
3.掌握安全使用万用表的方法,能正确测试电流、电压、电阻等参数,能使用万用表测试电子元件和判断元件质量的方法以及元件参数的识别技能,学会根据电原理图和印制电路板图查找到实际测试点。
4.学会利用测试的数据分析判断电路是否工作正常,掌握电子电路的调试和故障排除的基本技能和方法。
二、实习主要内容与进度安排:第一次:电子系统设计导论及Multisim仿真入门;第二次:程控放大器电路设计与仿真(一);第三次:程控放大器电路设计与仿真(二);第四次:焊接讲解与练习,元件识别;第五次:程控放大器电路的焊接;第六次:程控放大器电路的焊接与调试;第七次:程控放大器电路的调试与故障排除;第八次:程控放大器电路的调试与验收第九次:Quartus II软件的使用入门;第十次:利用FPGA实现液晶屏显示实验;第十一次:利用FPGA实现A/D转换器控制实验;第十二次: A/D转换器控制实验验收;三、实习设备及器材:1、通用电路板、放大器、电阻、导线等2、数字式万用电表、示波器3、STR850焊接工作台、焊接耗材四、程控放大器电路原理及参数计算(包含电路原理,元件参数计算过程和电路图)五、程控放大器电路图:六、程控放大器电路所用电阻测试参数九、程控放大器电路实际测试数据:十、程控放大器电路误差分析及改进思路:十一、液晶屏显示程序清单(不需要列出全部程序清单,仅需列出显示姓名及学号相关的程序):十二、A/D转换程序流程图:十五、总结体会及建议或意见:。
电子系统设计实习报告
一、实习背景随着科技的不断发展,电子系统设计在各个领域中的应用越来越广泛。
为了提高自己的实践能力和综合素质,我选择了电子系统设计实习。
本次实习旨在通过实际操作,掌握电子系统设计的基本方法,提高自己的动手能力和设计水平。
二、实习目的1. 掌握电子系统设计的基本原理和流程。
2. 学会使用常用电子设计工具,如EDA软件、PCB设计软件等。
3. 提高动手能力,学会焊接、调试等基本技能。
4. 培养团队合作精神,提高沟通协调能力。
三、实习内容1. 电子系统设计基础知识实习期间,我学习了电子系统设计的基本原理,包括模拟电路、数字电路、微控制器等。
通过学习,我对电子系统设计有了初步的认识,了解了各个模块的功能和作用。
2. EDA软件使用为了提高设计效率,我学习了Altium Designer软件,通过实际操作,掌握了电路原理图绘制、PCB设计、仿真等基本技能。
在绘制电路原理图时,我学会了如何使用元件库、布线规则等,使电路图更加规范。
3. PCB设计在PCB设计方面,我学习了Altium Designer软件的PCB设计功能,掌握了元件布局、布线、测试点设置等技巧。
通过实际操作,我完成了一个简单的PCB设计,并进行了焊接和调试。
4. 焊接与调试在焊接方面,我学习了手工焊接的基本技能,包括烙铁的使用、焊接方法、焊接注意事项等。
在调试方面,我学会了使用示波器、万用表等工具,对电路进行测试和故障排查。
5. 项目实践在实习期间,我参与了一个电子系统设计项目,负责电路设计、PCB设计和调试。
通过团队合作,我们成功完成了项目,并进行了演示。
四、实习心得体会1. 实践是检验真理的唯一标准。
通过实习,我深刻体会到理论知识的重要性,同时也认识到实际操作技能的必要性。
2. 团队合作是完成项目的关键。
在实习过程中,我学会了与团队成员沟通、协作,共同解决问题,提高了自己的沟通协调能力。
3. 持续学习是提高自己的重要途径。
电子系统设计领域不断更新,我们需要不断学习新技术、新方法,以适应行业发展的需求。
电子系统设计实习报告模板 (1)1
实习报告——电子系统设计学号:0706110408班级:电信07-4姓名:李华君一.设计内容基本任务:1、用一位数码管(DS1)显示自己的学号,大约1秒钟显示1位数字2、流水灯(循环点亮8个LED)\3、通过串口将自己的班级,学号,姓名发送至电脑,用串口调试助手显示。
扩展任务(做完基本任务后,有余力的同学选作,评定成绩加分):任务一在ds1302中写入当前时间,然后每个2秒钟通过max232送入计算机显示(年月日时分秒),送出20个时间信息后,蜂鸣器响一声。
任务二在A T24C02中写入自己的姓名(拼音),学号,并通过串口在电脑显示输出。
任务三通过ds18b20读入当前温度值,送入数码管显示,显示用三位(DS1,DS2,DS3显示,DS4不焊接),显示温度范围0-99摄氏度,精度0.5摄氏度。
任务四通过ds18b20读入当前温度值,送入串口显示二.系统程序代码1、流水灯:#include <reg51.h>#include <intrins.h>void delay(unsigned int);unsigned char a;void main(){a=0xfe;P1=a;while(1){a=_crol_(a,1);delay(500);P1=a;}}void delay(unsigned int z){unsigned int x,y;for(x=100;x>0;x--)for(y=z;y>0;y--);}2、数码管:#include <reg52.h>sbit dula=P2^7;unsigned char ss,t;unsigned char code table[]={0x3f,0x07,0x3f,0x7d,0x06,0x06,0x3f,0x66,0x3f,0x7f}; void delay(unsigned int);void main(){/*t=0;TMOD=0x01;TH0=(65536-50000)/256;TL0=(65536-50000)%256;EA=1;ET0=1;TR0=1;*/while(1){/*if(t==20)*/for(ss=0;ss<10;ss++){ /*t=0;if(ss==10)ss=0;*/dula=1;P0=table[ss];dula=0;delay(500);/*ss++;*/}}}void delay(unsigned int z){unsigned int x,y;for(x=z;x>0;x--)for(y=100;y>0;y--);}/*void timer0() interrupt 1{TH0=(65536-50000)/256;TL0=(65536-50000)%256;t++;}*/3、串口:#include <reg51.h>#define uchar unsigned charuchar i;uchar code name[] = "My name is: lihuajun My number is: 0706110408 ";void init(){TMOD = 0x20;TH1 = 0xf3;TL1 = 0xf3;TR1 = 1;SCON = 0x50;EA = 1;}void main (){ init();while (1){while (name[i] != '\0'){SBUF = name[i];while (!TI);TI = 0;i++;}i = 0;}}三.感受:从这一周的实习过程中我受益匪浅。
社会实践报告电子系统
一、前言随着信息技术的飞速发展,电子系统已成为现代社会不可或缺的一部分。
为了更好地了解电子系统的设计与开发过程,提高自己的实践能力,我们小组在暑假期间开展了为期一个月的电子系统设计与开发的社会实践活动。
本次实践旨在通过实际操作,掌握电子系统的基本原理,提高团队协作能力,并为我国电子产业的发展贡献一份力量。
二、实践目的与意义1. 实践目的- 学习电子系统的基本原理和设计方法。
- 提高电子系统的设计与开发能力。
- 培养团队协作精神和创新能力。
- 了解电子产业的最新发展趋势。
2. 实践意义- 提高自身综合素质,为将来的就业和创业打下坚实基础。
- 为我国电子产业的发展提供人才支持。
- 推动科技创新,助力我国电子产业迈向更高水平。
三、实践内容1. 项目背景本项目旨在设计并开发一款基于微控制器的智能电子钟表。
该电子钟表具有以下功能:- 显示当前时间、日期和星期。
- 设置闹钟,定时提醒。
- 查看天气信息。
- 具备背光功能,便于夜间使用。
2. 系统设计(1)硬件设计- 主控制器:选用STM32系列微控制器作为主控制器。
- 显示模块:采用LCD显示屏,用于显示时间、日期、星期和天气信息。
- 闹钟模块:通过设置闹钟时间,实现定时提醒功能。
- 天气模块:通过连接网络,获取实时天气信息。
- 电源模块:采用锂电池供电,确保电子钟表长时间稳定运行。
(2)软件设计- 操作系统:采用嵌入式Linux操作系统,提高系统稳定性和可靠性。
- 应用程序:编写C语言程序,实现电子钟表各项功能。
- 界面设计:设计简洁明了的界面,便于用户操作。
3. 实践过程(1)前期调研- 了解微控制器、显示屏、锂电池等硬件模块的选型和参数。
- 学习嵌入式Linux操作系统和C语言编程。
(2)硬件搭建- 按照设计方案,搭建硬件电路。
- 连接各模块,进行初步测试。
(3)软件开发- 编写程序,实现电子钟表各项功能。
- 调试程序,确保系统稳定运行。
(4)系统集成- 将硬件和软件集成,进行整体测试。
电子系统制作实训报告
一、实训目的本次电子系统制作实训的主要目的是让学生通过实际操作,加深对电子系统基本原理和电路制作过程的理解,提高学生的动手能力和团队协作能力。
通过本次实训,使学生掌握以下技能:1. 熟悉常用电子元器件的识别、检测和选用方法;2. 掌握电路图的阅读和分析能力;3. 掌握电子系统的组装、调试和维修方法;4. 提高学生的创新意识和团队协作能力。
二、实训环境1. 实训室:具备电子实验设备,包括示波器、万用表、面包板、电源、电子元器件等;2. 实训教材:电子系统制作相关教材和参考资料;3. 实训指导老师:具备丰富的电子系统制作经验和教学经验。
三、实训原理电子系统制作实训主要包括以下原理:1. 基本电路原理:熟悉电阻、电容、电感等基本元器件的特性及其在电路中的作用;2. 电路分析方法:掌握串并联电路、分压电路、滤波电路等基本电路分析方法;3. 电子电路设计:根据实际需求,设计满足要求的电子电路;4. 电子电路调试:通过测试、调整,使电子电路达到预期性能。
四、实训过程1. 电路图阅读与分析:学生根据提供的电路图,分析电路原理,确定元器件型号和数量;2. 元器件准备:根据电路图,选用合适的元器件,并进行检测;3. 电路制作:按照电路图,将元器件焊接在面包板上,组装成完整的电路;4. 电路调试:使用示波器、万用表等工具,对电路进行测试,调整元器件参数,使电路达到预期性能;5. 实训总结:整理实训过程中的心得体会,撰写实训报告。
五、实训结果1. 学生掌握了常用电子元器件的识别、检测和选用方法;2. 学生具备了一定的电路分析能力,能够根据需求设计简单的电子电路;3. 学生能够独立完成电路的组装、调试和维修;4. 学生在实训过程中,培养了团队协作能力和创新意识。
六、实训总结1. 通过本次实训,学生加深了对电子系统基本原理和电路制作过程的理解,提高了动手能力;2. 实训过程中,学生学会了如何阅读电路图、分析电路原理,为今后从事电子技术工作奠定了基础;3. 实训过程中,学生培养了团队协作能力和创新意识,为今后的学习和工作打下了良好的基础;4. 实训过程中,发现了一些学生在电路制作过程中存在的问题,如元器件选用不当、焊接技术不熟练等,需要在今后的学习中加以改进。
电子系统综合实习报告
实习报告一、实习目的本次电子系统综合实习的主要目的是将所学的理论知识与实际操作相结合,提高自己的实践能力和创新能力。
通过实习,要求学生掌握电子系统的基本组成原理,熟悉各种电子元器件的功能和应用,学会使用常用的电子仪器和工具,具备基本的电路分析和设计能力。
二、实习内容实习内容主要包括以下几个部分:1. 电子元器件的学习:了解并熟悉各种电子元器件的功能、特性和应用,如电阻、电容、电感、二极管、三极管等。
2. 电路分析与设计:学习如何分析电路图,理解电路的工作原理,学会使用面包板进行电路搭建和测试。
3. 电子仪器和工具的使用:学会使用万用表、示波器、信号发生器等电子仪器,掌握基本的测量和调试技能。
4. 实际操作:进行一些实际的电子项目制作,如制作简单的放大器、滤波器、振荡器等。
5. 实习报告的撰写:对实习过程进行总结,撰写实习报告。
三、实习过程在实习过程中,我按照实习大纲的要求,逐步完成了各个阶段的学习任务。
首先,我学习了电子元器件的知识,了解了各种元器件的功能和特性,并通过查阅资料和请教同学,掌握了元器件的应用方法。
其次,我学习了电路分析与设计的基本方法,通过观看教学视频和阅读教材,掌握了如何分析电路图和理解电路的工作原理。
在实际操作中,我学会了使用面包板进行电路搭建和测试,通过不断的尝试和调整,完成了几个简单的电路设计。
然后,我学习了电子仪器和工具的使用方法,通过实习指导老师的讲解和示范,掌握了万用表、示波器、信号发生器等电子仪器的使用技巧,并能够独立进行基本的测量和调试。
最后,我根据实习过程中的学习和实践,撰写了实习报告,对实习过程进行了总结,并对所学的知识和技能进行了梳理和巩固。
四、实习收获通过本次电子系统综合实习,我收获颇丰。
首先,我掌握了电子元器件的基本知识和应用方法,熟悉了各种电子仪器的使用,提高了自己的实际操作能力。
其次,我学会了电路分析和设计的基本方法,锻炼了自己的创新能力和解决问题的能力。
电子系统设计实习报告
电子系统设计实习报告电子1071 沈锦洪200711611115一、实习目的:1、提高我们应届毕业生的实际动手焊接的能力和焊接的技术,认识到了与以往不同的贴片焊接技术,认识科学技术提高生产力的真正道理,提高PCB电路设计能力,认识PCB电路板的生产腐蚀原理和技术,认识FM收音机和机器猫的控制原理和电路原理,提高实际动手操作和组装实物的能力。
2、还有将大学学的一些电路理论应用到实际的设计中,达到学以致用的目的。
二、实习单位及岗位:科技楼五楼的电子实习实验室三、实习内容及过程:1、组装和调试SMT型FM微型收音机。
(1)内容:独立完成SMT型FM收音机的组装和调试工作。
表面安装技术(Surface Mounting Technology,简称SMT),是实现电子系统微型化和集成化的关键。
SMT已经在很多领域取代了传统的通孔安装(Through Hole Technology,简称THT),预计未来90%以上产品采用SMT,如电脑,手机,MP3,4,电视,笔记本,摄像机,相机等。
(2)过程:我们认识到表面安装技术的原理后,第二天早上在实验室动手做了,在印制板上放置一块模板,定位,利用丝网印机托板上的定位针,将电路板放好。
将模板放平,压在电路板上。
通过小孔观察,发现每个小孔下面都有一个亮点,并且这些亮点充满每个小孔,说明小孔和焊盘对应很准确,接着在模板上刮焊膏,刮板起始角度约为60°,在刮焊锡膏的过程中角度逐渐变小,到印制板末端时角度约为30°,以使每个焊盘上焊膏均匀、相等。
然后在印制板上放上贴片元器件,本收音机共有贴片元件23个,每一个元件都有一张图纸和其对应,图纸上有一红色标记,标出本元件应该贴装的位置。
所有元件分为电阻、电容、三极管、集成电路四种类型,贴集成电路时可看到实际它本身左下角有一小坑,而图纸上的集成电路左下角有一小圈,把小坑和小圈对应上后,垂直放下一次放正,我们流水线地放好这23个贴片元件,贴装电阻时注意:它分为两面,一面为标注阻值,另一面为白色没有任何标记,有标注一面向上贴装,以备检查。
电子系统设计实习报告册
实习报告实习单位:XX科技有限公司实习时间:2023年6月1日至2023年6月30日实习岗位:电子系统设计实习生一、实习目的通过此次实习,我希望能够将所学理论知识与实际工作相结合,提高自己的实践能力和技术水平。
同时,了解企业文化,培养团队协作精神,为今后的工作打下坚实基础。
二、实习单位简介XX科技有限公司成立于20XX年,主要从事电子产品的研发、生产和销售。
公司拥有一支高素质的研发团队,具备较强的技术创新能力。
此次实习,我将在公司的电子系统设计部门学习和工作。
三、实习内容1. 参与项目:在导师的指导下,参与公司的一个电子系统设计项目,了解项目从需求分析、方案设计、原理图绘制、PCB布线、调试到测试的全过程。
2. 学习使用设计工具:学习使用 Altium Designer、Cadence 等电子系统设计软件,掌握原理图绘制、PCB 布线等基本操作。
3. 学习元器件选型:根据项目需求,学习如何选择合适的电子元器件,包括电阻、电容、二极管、三极管等。
4. 焊接与调试:学习焊接技巧,亲手焊接电路板,并在调试过程中解决问题。
5. 编写设计报告:记录实习过程,撰写设计报告,总结所学知识和经验。
四、实习过程1. 第一周:了解公司文化和部门工作,学习 Altium Designer 软件的基本操作,绘制原理图。
2. 第二周:学习 PCB 布线规则和技巧,完成一个简单电路板的布线。
3. 第三周:参与项目需求分析,学习元器件选型,完成原理图绘制和 PCB 布线。
4. 第四周:焊接电路板,进行调试,解决过程中遇到的问题。
5. 第五周:总结实习经验,撰写设计报告。
五、实习收获1. 理论知识与实践能力的结合:通过实际操作,将所学理论知识应用到实际工作中,提高了自己的实践能力。
2. 团队协作:在项目过程中,学会了与同事沟通、协作,共同解决问题。
3. 焊接技能:掌握了焊接技巧,为今后的工作打下了基础。
4. 设计报告撰写能力:通过撰写设计报告,提高了自己的文字表达能力和总结能力。
最新整理电子系统设计实习报告范文.docx
最新整理2100字电子系统设计实习报告范文2100字电子系统设计实习报告范文实训任务一:温度显示系统电路原理图PCB版图绘制一、设计目标1.完成温度显示系统的设计,完成接收处理温度信号和控制八段数码管显示两部分功能电路的设计;2.使用Protel绘制电路原理图和PCB版图二、设计任务1.完成电路原理图绘制,此部分包括单片机部分:单片机最小系统(即时钟(晶振)和复位电路)、串口电路温度采集输入:传感器电路、运算放大电路、AD转换电路显示输出:译码电路、数码管电路2.PCB版图绘制所有原理图的器件必须有封装,才能完成版图绘制。
三、设计要求绘制电路原理图及PCB版图的过程中选用51系列单片机为核心为处理器。
整体系统分三部分进行设计,分别为信号采集部分、单片机系统部分和显示部分。
系统框图如图1所示。
四、使用软件1.ProtelDXP——电路原理图及PCB版图绘制软件;五.绘制结果1.电路原理图2.PCB版图绘制六.故障分析原理图PCB板绘制过程中的故障分析:1.部分芯片在protel软件芯片库中找不到,需要单独绘制原理图库及PCB库。
2.由原理图生成PCB版图过程中需要自己绘制的芯片将其对应的封装加入图库,否则PCB版图芯片显示不完全。
3.在转换成PCB版图时命名重复的元件不会被显示需要将元件重新命名。
4.根据自己的需要设计PCB版图的大小,经济上可以节约。
实训任务二:凌阳61开发版功能开发一、设计目标在知识竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观地判断出抢答者的功能。
八个按键表示八位参赛者所处位置以及其顺序编号,通过抢答者所处位置的数字显示筛选出第一抢答成功者。
1.抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S1~S8表示。
2.设置一个系统清除和抢答控制开关S。
3.抢答器具有定时抢答功能。
4.参赛选手在设定的时间内进行抢答,抢答有效,显示器上显示第一抢答成功选手的编号。
二、设计方案1.系统框图2.系统工作原理输入8个开关,序号为1、2、3、4、5、6、7、8按下1时,编码器输出1按下2时,编码器输出2......按下8时,编码器输出8从编码器输出即可知道按下的是哪个开关,抢答器是时间优先编码器,两人或多人在一定时间内同时按下开关,只能第一抢答成功者有效。
电子系统设计与实践报告材料
《电子系统设计与实践报告》院系电气与信息工程学院专业班级电气151班学生学号学生姓名指导教师李叔元完成日期 2018年06月28日目录第一章设计目的与任务 (3)1.1 设计目的 (3)1.2 设计项目要求 (3)第一章知识点总结 (3)2.1 搭建开发环境 (3)2.2 linux操作系统的常规使用 (4)2.3 windows 与 Linux的文件共享 (5)2.4 硬件控制 (5)2.5 写代码控制驱动对应的硬件 (6)2.6 人机交互 (7)2.7音视频的播放 (9)2.8音视频的播放 (9)2.9 U盘下载 (9)第3章智能家居的具体实现 (10)3.1智能家居整体设计图 (10)3.2智能家居C语言程序 (10)第四章心得体会 (18)第一章设计目的与任务1.1设计目的1掌握Linux系统的常规使用。
2掌握主控板的常规使用。
3掌握使用linnux系统编程代码控制主控板显示屏。
4对所学知识进行项目的验收检测。
1.2设计项目要求智能家居系统通过家庭网络,让系统中的各类设备之间相互联动,为我们营造智能化、舒适化、便利化的生活环境。
智能家居可以让我们解放双手,提高生活水平。
本次实训的要求是设计缩小版的智能家居,其特点在于操作简单、易于上手、稳定性强,实现实时监控、视频的播放、音乐的播放、图片的显示以及对控制led灯的开关。
所以本周要学习关于嵌入式的基本入门知识,以及相关软件的使用。
第一章知识点总结2.1 搭建开发环境步骤:一:安装虚拟机,直接双击安装程序,不断下一步,最后要求你输入密钥,安装包里面有破解程序二:解压ubuntu就可以直接使用虚拟机:用软件来模拟真实的电脑,我们的linux操作系统必须在虚拟机上运行ubuntu(乌邦图):linux操作系统一个发行版本的名字使用linux操作系统登陆的时候千万不要用guest身份登陆,要使用yueqian登陆,密码是123456linux跟windows的使用习惯有些不同,作为开发人员我们使用命令来控制linux系统打开命令终端:ctrl+alt+tgec@ubuntu:~$gec---〉当前用户的名字ubuntu---〉操作系统的名字~ ---〉当前用户的家目录$ ---〉当前用户是个普通用户linux中的这些命令统称shell命令,做系统运维的人就是编写程序用这些命令来自动管理服务器2.2 linux操作系统的常规使用2.2.1启动Linux系统启动VMware--》选中Ubuntu12.04---》继续运行虚拟机--》进入桌面系统--》启动命令行--》双击terminal(ctrl + alt + t)2.2.2 linux常规命令的使用A.查看某个位置下有什么东西 ls [选项] [路径]ls 查看当前的位置下有什么东西(刷新)ls -l 查看当前位置下文件的详细信息drwx rwx r-x 文件操作权限(chmod)ls -a 查看当前位置下所有的文件(包含隐藏文件)ls -la 两个功能结合在一起B.修改工作路径(更改当前所处的文件夹的位置)cd (路径)cd / 回到根目录(起点)cd / && cd home && cd gec===》cd /home/geccd .. 返回上一级cd ~ 返回家目录(常规操作位置:家目录/共享目录) ==>/home/gecC.得到当前的工作路径pwd 得到当前的位置D.创建 && 删除(文件/目录)touch 文件名1 文件名2 //创建空白文件rm 文件名1 文件名2 //删除文件mkdir 目录名1 目录名2 //创建目录rm -rf 目录名1 目录名2 //删除目录E.编辑 && 编译 && 执行gedit filename.c //怎么编写源文件(c代码)gcc/arm-linux-gcc filename.c -o filename //生成可执行文件(编译)./filename //运行可执行程序F.修改文件的为可执行权限chmod 777 filename(3)补充:路径的组成(文件存储结构:树状结构)相对路径:当前位置下/一级目录/二级目录绝对路径:/一级目录/二级目录2.3windows 与 Linux的文件共享虚拟机--》设置--》选项--》共享文件夹--》启用--》添加--》下一步--》配置如下主机路径(windows):笔记名称(LInux):share (必须进入/mnt/hgfs)test1.c:编写九九乘法表,编译,运行起来#include<stdio.h>void main(){char i,j,k;for(i=1;i<=9;i++){for(j=1;j<=i;j++){k=i*j;printf("%d*%d=%d\t",i,j,k);}printf("\n");}return 0;}2.4 硬件控制(1)双要素驱动程序 + 应用程序(配套出现)(2)驱动如何使用?A.得到驱动程序文件 led_drv.ko / gec210_beep.koB.加载驱动 -->产生设备文件 --->文件IO才能生效insmod xxx.ko==>insmod: can't insert 'led_drv.ko': File exists 表示驱动已经存在C.查看系统下已有的驱动lsmod==>led_drv 1203 0 - Live 0xbf028000 //LED驱动buzzer_drv 1488 0 - Live 0xbf022000 //蜂鸣器gec210_beep 1298 0 - Live 0xbf0e6000 //蜂鸣器rtnet3070ap 24124 0 - Live 0xbf0d3000rt3070ap 488261 1 rtnet3070ap, Live 0xbf044000 (P)rtutil3070ap 22027 2 rtnet3070ap,rt3070ap, Live 0xbf037000ov9650 8851 0 - Live 0xbf02e000snd_soc_gec210_wm8960 3084 0 - Live 0xbf01c000snd_soc_wm8960 19792 1 snd_soc_gec210_wm8960, Live 0xbf011000D.卸载原有的驱动rmmod led_drv /buzzer_drv/gec210_beep(3)应用程序的使用./led_test报错:./led_testUsage:./led_test <led_no> <on/off>led_no = 0,1, 2,3test1.c:把LED和蜂鸣器的驱动加载,使用自带的应用程序进行控制2.5 写代码控制驱动对应的硬件(1)LED灯A.打开对应的设备文件:/dev/ledsB.控制灯的状态ioctl(led_fd, 1, led_namb);参数2:灯的状态 1亮0灭参数3:灯的序号 0/1/2/3C.关闭对应的文件描述符test2-1:完成的流水灯的设计。
电子系统实训报告总结
一、实训目的随着科技的不断发展,电子技术在各个领域中的应用越来越广泛。
为了提高自身的实践能力,加深对电子系统原理和技术的理解,我参加了本次电子系统实训。
本次实训的主要目的是:1. 熟悉电子系统基本原理和设计方法;2. 掌握常用电子元器件的使用和电路调试技能;3. 培养团队合作精神和动手能力;4. 提高分析问题和解决问题的能力。
二、实训环境本次实训在XX大学电子实验室进行,实验室配备了完善的电子实验设备,包括示波器、万用表、信号发生器、电子元器件等。
实训过程中,同学们按照指导老师的要求,进行了一系列电子系统的设计、组装和调试。
三、实训内容1. 电子系统基础知识在实训开始阶段,我们学习了电子系统基本原理,包括模拟电路、数字电路、信号与系统等方面的知识。
通过学习,我们对电子系统有了初步的认识,了解了电子系统的工作原理和设计方法。
2. 电子元器件的使用实训过程中,我们学习了常用电子元器件的使用方法,包括电阻、电容、二极管、三极管、集成电路等。
通过实际操作,我们掌握了电子元器件的识别、测试和选用技巧。
3. 电路设计与仿真在指导老师的指导下,我们进行了电路设计与仿真实验。
通过使用电路仿真软件,我们能够直观地观察电路的工作状态,分析电路性能,为实际电路设计提供参考。
4. 电路组装与调试在电路设计与仿真完成后,我们进行了电路组装与调试实验。
在组装过程中,我们按照电路图的要求,将元器件正确连接到电路板上。
在调试过程中,我们使用万用表、示波器等工具,对电路性能进行测试和调整,确保电路能够正常工作。
5. 项目实践为了提高实践能力,我们进行了电子系统项目实践。
在项目实践中,我们根据实际需求,设计并实现了以下电子系统:(1)数字时钟:通过设计电路,实现了时钟显示、计时、闹钟等功能;(2)音乐播放器:利用MP3解码芯片,实现了音乐播放、音量调节等功能;(3)智能家居控制系统:通过设计电路,实现了灯光、窗帘、空调等家居设备的远程控制。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《电子系统设计与实践报告》院系电气与信息工程学院专业班级电气151班学生学号学生指导教师叔元完成日期2018年06月28日目录第一章设计目的与任务 (3)1.1 设计目的 (3)1.2 设计项目要求 (3)第一章知识点总结 (3)2.1 搭建开发环境 (3)2.2 linux操作系统的常规使用 (4)2.3 windows 与Linux的文件共享 (5)2.4 硬件控制 (5)2.5 写代码控制驱动对应的硬件 (6)2.6 人机交互 (7)2.7音视频的播放 (9)2.8音视频的播放 (9)2.9 U盘下载 (9)第3章智能家居的具体实现 (10)3.1智能家居整体设计图 (10)3.2智能家居C语言程序 (10)第四章心得体会 (18)第一章设计目的与任务1.1设计目的1掌握Linux系统的常规使用。
2掌握主控板的常规使用。
3掌握使用linnux系统编程代码控制主控板显示屏。
4对所学知识进行项目的验收检测。
1.2设计项目要求智能家居系统通过家庭网络,让系统中的各类设备之间相互联动,为我们营造智能化、舒适化、便利化的生活环境。
智能家居可以让我们解放双手,提高生活水平。
本次实训的要设计缩小版的智能家居,其特点在于操作简单、易于上手、稳定性强,实现实时监控、视频的播放、音乐的播放、图片的显示以及对控制led 灯的开关。
所以本周要学习关于嵌入式的基本入门知识,以及相关软件的使用。
第一章知识点总结2.1 搭建开发环境步骤:一:安装虚拟机,直接双击安装程序,不断下一步,最后要求你输入密钥,安装包里面有破解程序二:解压ubuntu就可以直接使用虚拟机:用软件来模拟真实的电脑,我们的linux操作系统必须在虚拟机上运行ubuntu(乌邦图):linux操作系统一个发行版本的名字使用linux操作系统登陆的时候千万不要用guest身份登陆,要使用yueqian登陆,密码是123456linux跟windows的使用习惯有些不同,作为开发人员我们使用命令来控制linux系统打开命令终端:ctrl+alt+tgecubuntu:~$gec---〉当前用户的名字ubuntu---〉操作系统的名字~ ---〉当前用户的家目录$ ---〉当前用户是个普通用户linux中的这些命令统称shell命令,做系统运维的人就是编写程序用这些命令来自动管理服务器2.2 linux操作系统的常规使用2.2.1启动Linux系统启动VMware--》选中Ubuntu12.04---》继续运行虚拟机--》进入桌面系统--》启动命令行--》双击terminal(ctrl + alt + t)2.2.2 linux常规命令的使用A.查看某个位置下有什么东西ls [选项] [路径]ls 查看当前的位置下有什么东西(刷新)ls -l 查看当前位置下文件的详细信息drwx rwx r-x 文件操作权限(chmod)ls -a 查看当前位置下所有的文件(包含隐藏文件)ls -la 两个功能结合在一起B.修改工作路径(更改当前所处的文件夹的位置)cd (路径)cd / 回到根目录(起点)cd / && cd home && cd gec===》cd /home/geccd .. 返回上一级cd ~ 返回家目录(常规操作位置:家目录/共享目录)==>/home/gecC.得到当前的工作路径pwd 得到当前的位置D.创建&& 删除(文件/目录)touch 文件名1 文件名2 //创建空白文件rm 文件名1 文件名2 //删除文件mkdir 目录名1 目录名2 //创建目录rm -rf 目录名1 目录名2 //删除目录E.编辑&& 编译&& 执行gedit filename.c //怎么编写源文件(c代码)gcc/arm-linux-gcc filename.c -o filename //生成可执行文件(编译)./filename //运行可执行程序F.修改文件的为可执行权限chmod 777 filename(3)补充:路径的组成(文件存储结构:树状结构)相对路径:当前位置下/一级目录/二级目录绝对路径:/一级目录/二级目录2.3windows 与Linux的文件共享虚拟机--》设置--》选项--》共享文件夹--》启用--》添加--》下一步--》配置如下主机路径(windows):笔记名称(LInux):share (必须进入/mnt/hgfs)test1.c:编写九九乘法表,编译,运行起来#include<stdio.h>void main(){char i,j,k;for(i=1;i<=9;i++){for(j=1;j<=i;j++){k=i*j;printf("%d*%d=%d\t",i,j,k);}printf("\n");}return 0;}2.4 硬件控制(1)双要素驱动程序+ 应用程序(配套出现)(2)驱动如何使用?A.得到驱动程序文件led_drv.ko / gec210_beep.koB.加载驱动-->产生设备文件--->文件IO才能生效insmod xxx.ko==>insmod: can't insert 'led_drv.ko': File exists 表示驱动已经存在C.查看系统下已有的驱动lsmod==>led_drv 1203 0 - Live 0xbf028000 //LED驱动buzzer_drv 1488 0 - Live 0xbf022000 //蜂鸣器gec210_beep 1298 0 - Live 0xbf0e6000 //蜂鸣器rtnet3070ap 24124 0 - Live 0xbf0d3000rt3070ap 488261 1 rtnet3070ap, Live 0xbf044000 (P)rtutil3070ap 22027 2 rtnet3070ap,rt3070ap, Live 0xbf037000ov9650 8851 0 - Live 0xbf02e000snd_soc_gec210_wm8960 3084 0 - Live 0xbf01c000snd_soc_wm8960 19792 1 snd_soc_gec210_wm8960, Live 0xbf011000D.卸载原有的驱动rmmod led_drv /buzzer_drv/gec210_beep(3)应用程序的使用./led_test报错:./led_testUsage:./led_test <led_no> <on/off>led_no = 0,1, 2,3test1.c:把LED和蜂鸣器的驱动加载,使用自带的应用程序进行控制2.5 写代码控制驱动对应的硬件(1)LED灯A.打开对应的设备文件:/dev/ledsB.控制灯的状态ioctl(led_fd, 1, led_namb);参数2:灯的状态1亮0灭参数3:灯的序号0/1/2/3C.关闭对应的文件描述符test2-1:完成的流水灯的设计。
(10次)sleep(1); ==》1susleep(1000*1000);==》1us(1s)(2)蜂鸣器A.打开对应的设备文件:/dev/beep_drvB.控制灯的状态ioctl(fd,GEC210_BEEP_ON);参数2:灯的状态1亮0灭C.关闭对应的文件描述符test2-1:完成的流水灯的设计。
sleep(1); ==》1susleep(1000*1000);==》1us(1s)test2-2:倒车雷达的实现5s处于安全距离,5s后,进行一级报警(500ms)再过5s,进入2级报警状态(200ms)再过5s,进入3级报警状态(50ms)2.6 人机交互所有的功能的启动、结束:都是由用户来进行控制。
(人机交互)2.6.1 触摸屏的开发linux对于常用输入设备,其开发方式都是一样的===>输入子系统(鼠标、键盘、触摸屏...)2.6.2输入子系统的原理cat /dev/event02.6.3程序设计输入子系统的设备文件:/dev/event0开发说明书(头文件):input.h(1)打开硬件对应的设备文件(2)根据说明书提供的原始数据格式,进行读取操作struct input_event {__u16 type;__u16 code;__s32 value;};(3)根据说明书提供的数据分类,进行拆包处理原始数据按住时type = 0x3 code = 0x0 value = 653type = 0x3 code = 0x1 value = 103type = 0x3 code = 0x18 value = 255type = 0x0 code = 0x0 value = 0松开type = 0x3 code = 0x18 value = 0type = 0x0 code = 0x0 value = 0A.解决设备类型type/** Event types 输入设备当成是event,type的取值围*/#define EV_SYN 0x00#define EV_KEY 0x01 //键盘#define EV_REL 0x02 //鼠标#define EV_ABS 0x03 //触摸屏B.解决某一个设备下的动作types_code#define SYN_REPORT 0#define SYN_CONFIG 1#define SYN_MT_REPORT 2#define SYN_DROPPED 3#define REL_X 0x00#define REL_Y 0x01#define REL_Z 0x02#define ABS_X 0x00 X坐标value <==> x的坐标值#define ABS_Y 0x01 Y坐标value <==> y的坐标值#define ABS_PRESSURE 0x18 压力值value:>0 : 正在按压中=0 : 手已经松开了ts.c:实现手指按下时,坐标的捕捉及输出打印的条件:当压力值为0,手指弹开test2.c:利用触摸屏结合硬件驱动,进行点灯控制2.7音视频的播放(命令行 ./main ./test madplay)(1)音视频播放的原理数据输入:音频文件/视频文件黑匣子(播放器):madplay / mplayer数据输出:LCD,耳机接口(2)播放音乐madplay 1.mp3mplayer mv.mp4(3)替换MP4播放器[rootGEC210 xzx]which mplayer/bin/mplayerrm /bin/mplayertest1:完成命令行播放音乐和视频2.8音视频的播放命令行:只能同时运行一个可执行文件/一条命令代码嵌调用命令行:#include <stdlib.h>int system(const char *command);command:命令行的写法video.ctest2.c:在代码实现音视频的播放test3.c:利用触摸屏+ 音视频播放,实现小型播放器。