电子系统设计报告
电子系统设计实验报告aw60
竭诚为您提供优质文档/双击可除电子系统设计实验报告aw60篇一:嵌入式实验报告Aw60实验一名称:编程环境与数据排序姓名:李懿学号:1214098同组:孙垭琪实验日期:20XX.10.28一、实验目的掌握Freescale68hc08汇编语言设计和调试方法,熟悉proemulator、cw08、sD-1型嵌入式微控制器在线实验开发系统的使用方法。
二、实验内容1.实验环境pc机、Dp-01多mcu实验平台、codewarrior集成开发环境、proemulator模拟软件2.硬件接线无3.实验内容:(1)利用汇编语言编写程序,把Freescale68hc908gp32内部RAm$50~$5F单元中的16个无符号数按从小到大的顺序排列,结果放到内部RAm$60~$6F单元中,$50~$5F中数的顺序保持不变。
(2)利用c语言编程完成实验内容(选做内容)三、实验方案及流程图1.实验要求:(1)通过cwIDe或proemulator调试程序并查看运行结果。
(预习时完成)(2)利用cwIDemon08接口把程序下载到mcu实验平台,通过单步、断点等多种调试方式运行程序并查看运行结果。
(3)完成实验报告的撰写。
2.实验方案:本实验采用软件设置断点的方法完成程序调试,查验实验结果。
无须进行硬件连线。
实验采用冒泡法进行数据排序,程序流程如图1所示。
图1冒泡法排序流程图四、实验程序清单DATA1eQu$70DATA2eQu$80n1eQu$A0n2eQu$b0TempeQu$c0FLAgeQu$D0ADDRess1eQu$e0ADDRess2eQu$F0oRg$0070Dc.b$10,$20,$80,$72,$23,$32,$43,$54,$64,$76,$98,$43 ,$76,$87,$62,$62oRg$8000main:moV#$10,n2moV#$01,FLAgLDhx#DATA1sThxADDRess1LDhx#DATA2sThxADDRess2Loop:LDhxADDRess1LDA,xAIx#1sThxADDRess1LDhxADDRess2sTA,xAIx#1sThxADDRess2 DbnZn2,Loop L1:moV#$0F,n2 LDhx#DATA2 moVn2,n1 bcLR0,FLAgL2:LDA,xAIx#1cmp,xbcsL3bseT0,FLAg sTATemp LDA,xAIx#-1sTA,xAIx#1 LDATemp sTA,xL3:DbnZn1,L2bRcLR0,FLAg,L4DbnZn2,L1bRA$L4:oRg$FFFeDc.wmainenD五、实验结果及体会1、实验结果2、实验体会实验二名称:三字节(压缩bcD码形式)无符号十进制数加法程序一、实验目的掌握Freescale68hc08汇编语言设计和调试方法,熟悉proemulator、cw08、sD-1型嵌入式微控制器在线实验开发系统的使用方法。
电子系统设计实验报告
基于单片机和FPGA的等精度频率计一、设计任务工作频率通信系统极为重要的参数,频率测量是通信系统基本的参数测试之一。
本设计的主要任务是使用单片机与 EDA 技术设计制作一个简易的等精度频率测试仪,可对输入周期信号的频率进行测量、显示。
被测信号的频率范围和测试的精度要求见相应的设计任务书。
二、设计框图图 1 硬件系统原理框图等精度频率计的主系统硬件框图如图1 示,主要由以下几部分组成:(1)信号整形电路:用于对于放大信号进行放大和整流,以作为PLD 器件的输入信号(2)测频电路:是测频的核心电路模块,由FPGA 或CPLD 器件组成。
(3)单片机电路模块:用于控制FPGA 的测频操作和读取测频数据,并做出相应处理。
(4)数码显示模块:用8 个数码管显示测试结果,考虑到提高单片机I/O 口的利用率,降低编程复杂性,提高单片机的计算速度以及降低数码显示器对主系统的干扰,可以采用串行静态显示方式。
三、测频原理分析3.1 等精度频率测试的原理频率是一个基本的物理量,其它的物理量可以转换为频率进行测量。
测试频率的基本方法包括直接测频和测周法。
其中直接测频法是产生一个标准宽度(例如1s)的时基信号,然后在这个信号时间范围内打开闸门对被测频率信号进行计数。
此方法的弱点之一是高精度的标准时基信号不容易获得;其二,这种方法对于高频信号的测量精度比较有保证,但是对于低频信号由于计数周期有限测试精度较低。
测周法是用被测信号作为闸门信号、对标准脉冲信号进行计数,显然这种方法适合测量低频信号的频率。
等精度测频法的核心思想是用两个计数器分别对标准和被测脉冲进行计数,计数的时间严格同步于被测脉冲。
这种方法的最大优点是测试的精度和被测信号的频率无关,因而可以做到等精度测量。
其测试原理如图2 示。
预置闸门信号是测试命令,即测频的使能信号,该信号为高电平的期间进行测频。
但是当预置闸门信号为高电平时,测频并不是立即开始,而是要等到被测信号的上升沿到来以后,实际闸门信号跳为高电平,测频才真正开始。
电子系统设计创新与实践实习报告
电子系统设计创新与实践实习报告——数控直流电流源制作学院:信息科学与工程学院班级:通信工程09-1姓名:学号:指导老师:摘要此次实习我的选题为数控直流源的设计与制作,我们小组在参考传统电流源以及普通数控电流源的基础上,在充分考虑性价比的同时提高数控电流源的准确性,再通过软件控制来实现数控直流源的工作。
本系统主要由直流电流源和单片机控制系统两部分组成。
直流电流源采用连续调整型恒流源,电源电路分为四个模块电路:比较放大器、MOS型调整管、采样电阻和负载。
根据题目要求,我们采用的是8位A/D转换芯片ADC0832,8位D/A转换芯片DAC0832,通过AT89C52单片机控制系统进行校正,同时它还负责键盘输入和LCD显示功能,人机界面友好。
关键字:直流源、AT89C52、DAC0832、ADC0832、LCD1602一、数控电流源简介所谓恒流源就是输出电流极其稳定不随负载变化。
为了保证电流不变,输出电压必须始终符合V=I*R。
即负载需要多大电压,恒流源就必须输出多大电压,“无条件”予以满足。
负反馈的作用就是“使之稳定”。
通过时刻“检查”控制对象的状态,并进行调整。
发现小了,就设法使之增大,发现大了,就设法使之减小。
形象地说,电流负反馈电路则是采样输出电流,计算误差,据此调节自身状态,使输出电流稳定,因而,输出特性接近恒流源。
随着电子技术的不断进步,对电子仪器的要求也不断提高。
电源作为电路的动力源泉更是扮演着越来越重要的角色,然而传统的电流源不论是在控制精度还是输出特性上都无法满足要求。
再者单片机技术的不断发展和D/A,A/D技术的不断成熟使得数控电源成为可能,数控电流源不论是在控制精度还是在可操作性上都有传统电源无法比拟的优势。
二、设计任务及要求1.设计任务: 设计并制作数控直流电流源。
输入交流36V,50HZ;输出直流电压<=10V。
其原理示意图如下:2.设计要求1)输出电流范围:200mA~2000mA;2)可设置并显示输出电流给定值,要求输出电流与给定值偏差的绝对值≤给定值的1%+10 mA;3)具有“+”、“-”步进调整功能,步进≤10mA;4)改变负载电阻,输出电压在10V以内变化时,要求输出电流变化的绝对值≤输出电流值的1%+10 mA;5)纹波电流≤2mA;三、数控电流源硬件系统整体设计AT89C52单片机、A/D、D/A芯片,键盘,LCD,显示器构成系统的控制电路;比较放大器、负载、调整管及采样单元构成恒流源电路。
电子系统设计实验报告
实验报告格式要求二.实验目的、任务和要求:本实验要求设计SCI串行接口芯片, 其功能包括串行及并行数据的接收和互相转换。
三.实验系统结构设计分析1.模块划分思想和方法:该芯片需根据功能分为串并转换电路和并串转换电路两部分。
实现串并转换的关键器件就是移位寄存器, 其功能可以使串行输入的数据先寄存到一个位矢量中, 等到一组数据全部输入完毕后再一起处理, 并行输出。
而实现并串转换的关键器件是锁存器, 它可以将并行输入的数据先锁存起来, 再一位一位的转化成串行数据。
计数器在这一芯片中也起到了重要作用, 因为计数器可以产生时间脉冲的分频, 用于配合时间脉冲控制各器件的工作。
2.各模块引脚定义和作用.串并电路:输入: rxd读入数据, clk系统时钟, reset计数器复位端, rd读入控制四进制计数器:C4四分频十进制计数器:Count_10计数分量, C10四十分频(c4的十分频)移位寄存器:Read读入数据, d0~d9并行输出(d0起始端, d1~d8数据端, d9校验位(本实验中不起作用))锁存器:K0~k7数据位状态发生器:RdST读入状态(0为读入, 1为寄存器已满)四.实验代码设计以及分析:1.给出模块层次图;2.按模块完成的代码及注释.USE IEEE.STD_LOGIC_1164.ALL;ENTITY SCI ISPORT(cs,rxd,clk,SCIrd,reset,SCIwr,in7,in6,in5,in4,in3,in2,in1,in0: IN STD_LOGIC;rdFULL,tdEMPTY,c4:buffer std_logic;e7,e6,e5,e4,e3,e2,e1,e0,wxd:OUT STD_LOGIC);END SCI;ARCHITECTURE WORK OF SCI ISSIGNAL wr,rd,read,c10,d9,d8,d7,d6,d5,d4,d3,d2,d1,d0,k7,k6,k5,k4,k3,k2,k1,k0,mid: STD_ULOGIC;SIGNAL wri : STD_LOGIC_vector(7 downto 0);SIGNAL count_10 ,counter_8:std_logic_vector(3 downto 0);BEGINPROCESS(cs)BEGINrd<=cs OR SCIrd;wr<=cs OR SCIwr;END PROCESS;//注释: 片选输入, cs=1时, 串入并出为“写”, 并入串出为“读”;cs=0时, 串入并出为“读”, 并入串出为“写”;PROCESS(rxd)BEGINread<=rxd;END PROCESS;PROCESS(clk)VARIABLE count_4 : STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINIF(clk'EVENT AND clk='1')THENIF(count_4="00")THENcount_4 := "01";c4 <= '1';ELSIF(count_4="01")THENcount_4 := "11";c4 <= '1';ELSIF(count_4="11")THENcount_4 := "10";c4 <= '0';ELSIF(count_4="10")THENcount_4 := "00";c4 <= '0';END IF;END IF;END PROCESS;PROCESS(c4)BEGINIF(c4'EVENT AND c4='1')THENIF(rd='1')THENd0<=read;d1<=d0;d2<=d1;d3<=d2;d4<=d3;d5<=d4;d6<=d5;d7<=d6;d8<=d7;d9<=d8;END IF;END IF;END PROCESS;PROCESS(c4,reset,rd)BEGINIF(reset='0' OR rd='0')THEN count_10<="0000";c10 <= '0';ELSIF(c4'EVENT AND c4='1')THENIF(count_10="0000" AND rxd='1' AND rdFULL='0')THEN count_10 <= "0001";c10 <= '0';ELSIF(count_10="0001")THENcount_10 <= "0010";c10 <= '0';ELSIF(count_10="0010")THENcount_10 <= "0011";c10 <= '0';ELSIF(count_10="0011")THENcount_10 <= "0100";c10 <= '0';ELSIF(count_10="0100")THENcount_10 <= "0101";c10 <= '0';ELSIF(count_10="0101")THENcount_10 <= "0110";c10 <= '0';ELSIF(count_10="0110")THENcount_10 <= "0111";c10 <= '0';ELSIF(count_10="0111")THENcount_10 <= "1000";c10 <= '0';ELSIF(count_10="1000")THENcount_10 <= "1001";c10 <= '0';ELSIF(count_10="1001")THENcount_10 <= "1010";c10 <= '0';ELSIF(count_10="1010")THENcount_10 <= "1011";c10 <= '1'; END IF;END IF;END PROCESS;PROCESS(c10)BEGINIF(c10'EVENT AND c10='1')THENk7<=d8;k6<=d7;k5<=d6;k4<=d5;k3<=d4;k2<=d3;k1<=d2;k0<=d1;END IF;END PROCESS;PROCESS(rd)BEGINIF(rd='0')THENe7<=k7;e6<=k6;e5<=k5;e4<=k4;e3<=k3;e2<=k2;e1<=k1;e0<=k0;END IF;END PROCESS;PROCESS(rd,c10)BEGINIF(rd='0')THEN rdFULL<='0';ELSIF(c10='1')THENrdFULL<='1';ELSE rdFULL<='0';END IF;END PROCESS;process(wr)beginif(wr='0')thenwri(0)<=in0;wri(1)<=in1;wri(2)<=in2;wri(3)<=in3;wri(4)<=in4;wri(5)<=in5;wri(6)<=in6;wri(7)<=in7;end if;end process;process(c4)beginif(c4'event and c4='1')thenif(wr='0')thencounter_8<="0000";elsif(wr='1' and counter_8="0000")then counter_8<="0001"; elsif(counter_8="0001")then counter_8<="0010";elsif(counter_8="0010")then counter_8<="0011";elsif(counter_8="0011")then counter_8<="0100";elsif(counter_8="0100")then counter_8<="0101"; elsif(counter_8="0101")then counter_8<="0110";elsif(counter_8="0110")then counter_8<="0111";elsif(counter_8="0111")then counter_8<="1000";elsif(counter_8="1000")then counter_8<="1001";end if;end if;end process;process(wr,counter_8)beginif(wr='1' and counter_8="1001")thenmid<='1';tdEMPTY<='1';elsif(wr='0')thenmid<='0';tdEMPTY<='0';end if;end process;process(counter_8)beginif(wr='0' or mid='1')thenwxd<='0';elsif(wr='1' and mid='0')thenif(counter_8="0001")thenwxd<=wri(0);elsif(counter_8="0010")thenwxd<=wri(1);elsif(counter_8="0011")thenwxd<=wri(2);elsif(counter_8="0100")thenwxd<=wri(3);elsif(counter_8="0101")thenwxd<=wri(4);elsif(counter_8="0110")thenwxd<=wri(5);elsif(counter_8="0111")thenwxd<=wri(6);elsif(counter_8="1000" )thenwxd<=wri(7);end if;end if;end process;END WORK;五.仿真图(输入输出波形)以及分析:六.实验问题分析和经验总结:在该实验的设计中, 我们发现时序逻辑中最重要的部分就是时间信号对各进程的控制, 因为为了保持各进程在时间上的同步性和正确性, 需要用一个或几个相关联的时间信号来控制各进程。
电子工程设计报告模板
电子工程设计报告模板
一、需求背景
(本节描述需求来源、需求背景和开发背景)
二、问题定义
2.1 问题描述
(本节描述中需求要达到的目标和功能性需求)
2.2 非功能性需求
(本节描述对于用户体验、性能、安全等非功能性要求)
三、系统设计
3.1 系统架构
(本节描述系统的模块划分,及各模块的功能和接口定义)
3.2 数据流设计
(本节描述系统的数据流转方向和取向,包括输入源和输出结果)3.3 技术选择
(本节描述项目中使用的技术和工具)
四、代码实现
4.1 系统结构
(本节描述代码的结构和模块间关系)
4.2 算法与处理流程
(本节描述数据处理的算法实现和具体的处理流程)
五、测试和结果
5.1 功能测试
(本节描述对系统各功能模块进行测试的结果和测试报告)
5.2 性能测试
(本节描述对系统的性能进行测试的结果和测试报告)
六、总结和展望
(本节展示对本项目的总结和未来完善的需求方向和改进方案)。
电子系统设计实习报告
一、实习背景随着科技的不断发展,电子系统设计在各个领域中的应用越来越广泛。
为了提高自己的实践能力和综合素质,我选择了电子系统设计实习。
本次实习旨在通过实际操作,掌握电子系统设计的基本方法,提高自己的动手能力和设计水平。
二、实习目的1. 掌握电子系统设计的基本原理和流程。
2. 学会使用常用电子设计工具,如EDA软件、PCB设计软件等。
3. 提高动手能力,学会焊接、调试等基本技能。
4. 培养团队合作精神,提高沟通协调能力。
三、实习内容1. 电子系统设计基础知识实习期间,我学习了电子系统设计的基本原理,包括模拟电路、数字电路、微控制器等。
通过学习,我对电子系统设计有了初步的认识,了解了各个模块的功能和作用。
2. EDA软件使用为了提高设计效率,我学习了Altium Designer软件,通过实际操作,掌握了电路原理图绘制、PCB设计、仿真等基本技能。
在绘制电路原理图时,我学会了如何使用元件库、布线规则等,使电路图更加规范。
3. PCB设计在PCB设计方面,我学习了Altium Designer软件的PCB设计功能,掌握了元件布局、布线、测试点设置等技巧。
通过实际操作,我完成了一个简单的PCB设计,并进行了焊接和调试。
4. 焊接与调试在焊接方面,我学习了手工焊接的基本技能,包括烙铁的使用、焊接方法、焊接注意事项等。
在调试方面,我学会了使用示波器、万用表等工具,对电路进行测试和故障排查。
5. 项目实践在实习期间,我参与了一个电子系统设计项目,负责电路设计、PCB设计和调试。
通过团队合作,我们成功完成了项目,并进行了演示。
四、实习心得体会1. 实践是检验真理的唯一标准。
通过实习,我深刻体会到理论知识的重要性,同时也认识到实际操作技能的必要性。
2. 团队合作是完成项目的关键。
在实习过程中,我学会了与团队成员沟通、协作,共同解决问题,提高了自己的沟通协调能力。
3. 持续学习是提高自己的重要途径。
电子系统设计领域不断更新,我们需要不断学习新技术、新方法,以适应行业发展的需求。
电子综合设计报告模板
电子综合设计报告模板1. 项目简介本项目是一款XXXXXXXXXX。
我们的目标是XXXXXXXXXX。
2. 设计方案2.1 系统框架我们的系统主要由以下几个部分组成:•部件1: XXXXXXXXXXX•部件2: XXXXXXXXXXX•部件3: XXXXXXXXXXX系统框架如下图所示:请在此处插入系统框架图,使用Markdown格式描述2.2 硬件设计2.2.1 模块12.2.1.1 电路设计模块1的电路设计如下图所示:请在此处插入模块1电路图,使用Markdown格式描述2.2.1.2 电路分析模块1的电路分析如下所述:(此处需要详细分析模块1电路的电气特性,可使用表格、公式等方式进行描述)2.2.2 模块2(同上,需要对模块2进行逐一解析)2.3 软件设计2.3.1 软件架构我们采用了XXXXXXX软件架构。
该架构的主要特点是XXXXXXXXXXXXXXXXXXXXXXXXXXX。
2.3.2 代码实现我们使用了XXXXXXX语言编写了本系统代码。
代码实现的主要内容包括以下几个方面:•XXXXXXXXXXXXX•XXXXXXXXXXXXX•XXXXXXXXXXXXX2.3.3 软件测试我们对系统进行了XXXXXXX软件测试。
测试结果表明,在我们的测试范围内,系统的性能表现良好,符合预期要求。
3. 总结通过本次电子综合设计项目,我们深入了解了XXXXXXXXXXXXXXXXXXXXXXXX。
同时,本项目也为我们提供了实战锻炼的机会,并提升了我们的团队协作能力。
在未来的学习和职业生涯中,我们也将会竭尽所能将所学知识应用于实践工作当中。
电子系统综合设计实验报告
电子系统综合设计实验报告所选课题:±15V直流双路可调电源学院:信息科学与工程学院专业班级:学号:学生姓名:指导教师:2016年06月摘要本次设计本来是要做±15V直流双路可调电源的,但由于买不到规格为±18V 的变压器,只有±15V大小的变压器,所以最后输出结果会较原本预期要小。
本设计主要采用三端稳压电路设计直流稳压电源来达到双路可调的要求。
最后实物模型的输出电压在±13左右波动。
1、任务需求⑴有+15V和-15V两路输出,误差不超过上下1.5V。
(但在本次设计中,没有所需变压器,所以只能到±12.5V)⑵在保证正常稳压的前提下,尽量减小功效。
⑶做出实物并且可调满足需求2、提出方案直流可变稳压电源一般由整流变压器,整流电路,滤波器和稳压环节组成如下图a所示。
⑴单相桥式整流作用之后的输出波形图如下:⑵电容滤波作用之后的输出波形图如下:⑶可调式三端集成稳压器是指输出电压可以连续调节的稳压器,有输出正电压的LM317三端稳压器;有输出负电压的LM337三端稳压器。
在可调式三端集成稳压器中,稳压器的三个端是指输入端、输出端和调节端。
LM317的引脚图如下图所示:(LM337的2和3引脚作用与317相反)3、详细电路图:因为大容量电解电容C1,C2有一定的绕制电感分布电感,易引起自激振荡,形成高频干扰,所以稳压器的输入、输出端常 并入瓷介质小容量电容C5,C6,C7,C8用来抵消电感效应,抑制高频干扰。
参数计算: 滤波电容计算:变压器的次级线圈电压为15V ,当输出电流为0.5A 时,我们可以求得电路的负载为I =U /R=34Ω时,我们可以根据滤波电容的计算公式: C=т/R,来求滤波电容的取值范围,其中在电路频率为50HZ 的情况下,T 为20ms 则电容的取值范围大于600uF ,保险起见我们可以取标准值为2200uF 额定电压为50V的点解电容。
电子系统设计数字定时器实验报告
电子系统设计数字定时器实验报告学校:学院:城市轨道交通学院班级:通信工程组员:前言在电子技术飞速发展的今天,电子产品逐渐趋向人性化和智能化。
人们人们为了实现这一目的而引入了单片机。
单片机又称单片微型计算机,也称为微控制器,是微型计算机的一个重要分支,单片机是20世纪70年代中期发展起来的一种大规模集成电路芯片,是集CPU,RAM,ROM,I/O接口和中断系统于同一硅片上的器件。
单片机的诞生标志着计算机正式形成了通过计算机系统和嵌入式计算机系统两个分支。
目前单片机已渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。
单片机已在广阔的计算机应用领域中表现得淋漓尽致电器因此,单片机已成为电子类工作者必须掌握的专业技术之一。
这次实验,我们组是以单片机为核心设计一个数字定时器。
在实验过程中,我们开始了解电系统设计的和基本理念,基本规则和基本流程;在不断完善设计的过程中,我们逐步丰富和巩固自己的理论知识,培养积极思考解决问题的习惯并充分地发挥自己动手实验操作的能力。
可以说这次实验将我们所学的《单片机原理与应用》以及《电子系统设计》两门课程进行了有机的结合。
通过解决实际问题,我们对原理有了更深刻的理解,对于应用有了更广泛的接触。
另外实验中我们学会使用Proteus和Keil两款软件进行单片机电路的仿真以及程序的编写及联调。
这些都为我们以后的课程设计乃至工作研究奠定了厚实的基础。
这次的实验中,我们以单片机实现计时和倒计时功能,由LED 显示剩余时间,显示格式为XX(分),精确到1分的整数倍。
虽然接触到的功能模块较多,包括接口模块、中断模块、存储模块、控制模块和显示模块等,但仍然只是单片机这门学问的皮毛,在以后的学习中我们还需要不断汲取知识,不断地将理论与实践结合。
本次实验有本小组4位组员共同完成,张强强负责,朱宇翔负责,吴易洲负责,肖伟健负责。
编者注目录第1部分实验概述1.1 设计要求……………………………………………………1.2 数字定时器系统的基本理论………………………………1.3 设计方案……………………………………………………1.4 硬件电路工作原理…………………………………………第2部分程序设计2.1 整体结构……………………………………………………2.2 资源分配……………………………………………………2.3 程序流程……………………………………………………2.4 程序编写……………………………………………………第3部分仿真验证3.1 Keil 与Proteus联调仿真…………………………………3.2 实物连接仿真………………………………………………第4部分实验总结4.1 问题分析……………………………………………………4.2 小结…………………………………………………………第1部分实验概述1.1实验要求1定时时间的设置范围为1~99min,开机上电后隐含值为10min。
电力电子装置及系统课程设计报告
电力电子装置及系统课程设计报告1. 课程设计概述本课程设计的目的是通过对电力电子装置及系统的研究与实践,使学生掌握电力电子技术的基本原理、基本电路和基本器件,培养学生的动手能力、分析问题和解决问题的能力。
通过实际设计一个电力电子装置或系统,使学生了解电力电子装置在现代工业、交通运输、通信等领域的应用,为今后从事相关工作打下坚实的基础。
介绍电力电子技术的发展历程、基本概念、基本原理和发展趋势,使学生对电力电子技术有一个全面的了解。
介绍常用的电力电子装置及其基本电路,如半桥逆变器、全桥逆变器、谐振变换器等,使学生掌握这些电路的设计方法和工作原理。
介绍常用的电力电子器件,如晶闸管、MOSFET、IGBT等,使学生了解这些器件的结构、工作原理和性能参数。
根据课题要求,设计一个具有一定功能的电力电子装置或系统,并进行实际调试,使学生掌握电力电子装置及系统的设计方法和调试技巧。
指导学生撰写课程设计报告,并进行答辩准备,使学生养成良好的学术写作习惯和团队合作精神。
1.1 课程设计目的与任务本次电力电子装置及系统课程设计的目的是培养学生的工程设计能力和实践操作经验。
通过课程设计,使学生熟练掌握电力电子装置的基本原理、系统构成、运行控制和优化方法,从而能够独立完成电力电子装置的设计、安装、调试和运行维护工作。
课程设计还旨在提高学生的团队协作能力和创新意识,为将来的工程实践和技术创新打下坚实的基础。
电力电子装置的基本原理与设计:学生需掌握电力电子装置的基本原理、主要构成、电路设计及选型计算。
学生还需具备能够根据实际需求独立完成装置的初步设计能力。
系统的运行与控制:学生需理解并掌握电力电子系统的运行特性,包括稳定性、动态响应等。
学生还需掌握系统的控制策略,如PID控制、模糊控制等,并能够根据实际需求设计合适的控制系统。
优化与改进:学生需要根据实际需求和现场环境对电力电子装置进行优化和改进,以提高其性能和使用寿命。
这包括装置的节能优化、抗干扰设计以及可靠性提升等。
电子设计实训总结报告
一、前言随着科技的飞速发展,电子技术已成为现代社会的重要支柱。
为了提升我们的专业技能和实际操作能力,我们参加了为期一个月的电子设计实训。
本次实训以“电子系统设计与制作”为主题,通过实际操作和理论学习,使我们深刻理解了电子设计的基本原理和工程实践方法。
以下是对本次实训的总结报告。
二、实训目的与内容1. 目的本次实训旨在培养我们以下能力:(1)掌握电子设计的基本原理和设计方法;(2)熟悉常用电子元器件的性能和应用;(3)提高动手实践能力和团队协作精神;(4)培养创新意识和工程实践能力。
2. 内容本次实训主要分为以下三个阶段:(1)理论学习:学习电子设计基础知识、常用电子元器件、电路原理图绘制、PCB 设计等;(2)实践操作:完成一个实际电子系统的设计与制作,包括电路设计、PCB制作、元器件焊接、系统调试等;(3)总结与反思:对实训过程进行总结,分析存在的问题,提出改进措施。
三、实训过程1. 理论学习在理论学习阶段,我们系统地学习了电子设计的相关知识,包括电子元器件、电路原理图绘制、PCB设计等。
通过学习,我们对电子设计的基本原理和设计方法有了更深入的理解。
2. 实践操作在实践操作阶段,我们选择了设计一个简单的电子系统——数字钟。
具体过程如下:(1)电路设计:根据需求,我们设计了一个由单片机、时钟电路、显示电路等组成的数字钟电路;(2)PCB制作:根据电路原理图,我们绘制了PCB图,并完成了PCB的制作;(3)元器件焊接:按照PCB图,我们将元器件焊接在PCB板上;(4)系统调试:将数字钟电路连接到电源,进行调试,确保其正常工作。
3. 总结与反思在实训过程中,我们遇到了一些问题,如元器件选择不当、电路设计不合理、焊接质量不高等。
通过分析问题,我们总结了以下几点:(1)在元器件选择上,要充分考虑其性能、价格等因素,确保满足设计要求;(2)在电路设计上,要遵循电路原理,合理布局,提高电路的可靠性和稳定性;(3)在焊接过程中,要注意焊接技巧,确保焊接质量;(4)要善于总结经验,不断改进设计,提高自己的设计水平。
电子巡更系统设计报告
一、设计目的:针对智能楼宇和智能小区,结合?建筑电气工程?、?楼宇自动化?、?智能小区?、?综合布线系统?专业课的知识,做系统设计.二、设计任务:以洪柳小区为工程背景,设计出一套完善的电子巡更系统.该小区占地面积约40500平方米,主要建筑物有住宅楼26栋,游泳池1个,运动场1个,中央花园1个,室外停车场1个.三、设计要求:1、对指定的区域设计出电子巡更系统的系统图;2、列出所设计的电子巡更系统的设备采购清单及简单说明;注明设备选用厂家及价格.3、既能保证保安人员、洪柳小区的平安,又能增强对保安人员的治理,实现人工防范和技术防范的结合.四、系统设计:1、系统总体设计:电子巡更是一种通过先进的移动自动识别技术, 将巡逻人员在巡更巡检工作中的时间、地点及情况自动准确记录下来.它是一种对巡逻人员的巡更巡检工作进行科学化,标准化治理的全新产品.是治安治理中人防与技防一种有效的, 科学的整合治理Zu 0电子巡逻治理系统的组成包括:巡更帮,信息钮,巡逻人员卡,巡逻治理专用软件,通讯座.电子巡逻治理系统只需要在巡逻路线上安装一系列巡更点卡, 巡逻人员先巡更棒读一下自己的“巡逻人员卡〞,再到各点时用手持巡更机读“巡更点〞的信息钮,由此将自己巡逻到该地点的时间纪录到巡更机里. 巡逻工作结束后通过通讯座把巡更棒里的纪录传给专用计算机软件进行处理, 就可以得到对巡逻情况〔巡逻的时问,地点,人物,事件〕的考核结果,从而有效地预防了人员对巡逻工作的逃避.对电子巡逻治理系统进行配置的逻辑很简单,根据洪柳小区的区域特点及范围, 该电子巡更系统共需定时、定点巡逻的地点20个,具体安装在需要保安留意的关键位置及巡逻沿线;有3组工作人员,分3个班次24小时巡逻,需要1台巡更机;小区共有15名保安人员,需要15张人员卡;小区只需要配一台通讯座和一台用于治理的电脑即可.电子巡逻治理系统的配套要求仅为一套电脑,安装Windows操作系统,最好是Winxp系统;电脑的CPL&频最好在2.6以上;内存至少256M以上,一般应到达512M 硬盘在80G以上;带光驱;带Modem偌1需要使用电tS网络功能时〕.2、系统图设计与分析:(1)电子巡更系统框图巡更系统框图其工作原理是:在每个巡查点设一信息钮(它是一种无源的只有钮扣大小不锈钢外壳封装的存贮设备),信息钮中贮存了巡查点的地理信息;巡查员手持不锈钢巡查棒,到达巡查点时只须用巡查棒去感应读取嵌在墙上(树上或其它支撑物上)的信息钮扣,即把到达该巡查点的时间、地理位置等数据自动记录在巡查棒上. 巡查员完成巡查后,把巡查棒插入通讯座,将巡查员的所有巡查记录传送到计算机, 系统治理软件立即显示出该巡查员巡查的路线、到达每个巡查点的时间和名称及漏查的巡查点, 并根据要求生成巡检报告.(2)巡更治理系统配置示意图及系统的功能简述①巡更治理系统配置示意图信息钮20信息钮1信息钮2电子巡更棒②系统的功能:A 、发行:软件设定巡更时间要求、线路要求、次数要求,通过发行巡更点〔位置信息〕、巡更棒〔记录巡更员身份、编号,并授予有效巡更活动权限〕,巡更点信号B 、记录信息,查询备份:巡更员带巡更牌按规定时间及线路要求巡视,将巡更 棒在巡更点前一晃,并按动记录按钮,便可记录巡更员到达日期、时间、地点及相关 信息.假设不按正常程序巡视,那么记录无效.查对核实后,即视作失职.限制治理中央 可随时查询整理备份相关信息,对失盗失职进行有效分析.C 、数据采集:可随时或者定时提取各巡更员的巡更记录.D 、查询:电脑对采集回来的数据进行整理、存档,自动生成分类记录、报表、 并打印.治理人员根据需要随时在电脑中实时、非实时查询保安人员巡逻情况.3、巡更路线图设计与分析:〔1〕巡更路线图 IWlgL'S..* iSi£_4SS tftfi 海 樱 :&览.flU*r HR 周附JtMk IVIIAF人邮量 K r・■ L 4(2)巡更路线设置点分析巡更点的设置是以保安人员最大限度的巡视范围为原那么:巡逻人员分三个班次24小时根据以上巡更路线从小区入口开始, 沿着箭头方向依次定时巡更.每个班次有2名保安人员进行巡更,2名门卫在小区入口, 1名保安人员在监控值班室.该小区共设置有20个巡更点:A、2个点:游泳池、运动场设置巡更点;B、17个点:由于有的住宅单元是很接近的,可以合并起来设计成一个巡更点, 所以我们依据该小区的布局特点,在主干道上的26个住宅单元只需设置17巡更点;C、1个点:在室外停车场设置巡更点.4、附详细的系统配置设备清单及说明.(1)系统设备清单名称型号品牌数量(单位) 价格/元备注巡更棒BP-2002S蓝卡1个750具有超强的抗破坏能力;使用也最为方便蓝卡1个600通讯座无线通讯座BS-1000治理软件蓝卡1套便利性及平安性好信息钮BLC-6-28蓝卡20个25非接触式EMIDK灵敏度局人员卡BLC-35蓝卡15个12可以实现多人共用一台巡更机计算机1台自备总预算2030(2)设备性能说明①巡更棒(蓝卡BP-2002S)蓝卡的超级巩固型巡更机(型号BP-2002S)是一款受全球安防业广泛赞誉的巡更机.BP-2002s具体的性能介绍如下:a.超级抗摔:超强金属内胆,浇铸弹性橡胶外壳,内部填充柔性硅胶垫,器件电路板环氧固化,超级抗摔.b.完全防水:完全密封设计,可泡在水中使用.抗电击破坏:能抵御100万伏以上的警棍电击破坏.c. 自动感应:无需按键,连续自动探测读卡,使用方便.d.无线传输:无通讯接口,零功耗无线通讯,不用消耗巡检器的电能即可实现数据的高速无线传输,每秒30条巡更记录.使用方便,预防破坏.e.超低功耗,免维护:一节数码相机通用电池〔CR123A ,每天200次读卡,可工作约1-2年,用户无需专用工具,用普通的尖嘴钳就可自行更换电池, 无需返回厂家维护.f.超大容量,平安可靠:采用先进的Flash存储技术,不会丧失数据,可以永久反复保存3万多条记录.g.非接触感应读卡:靠近即可读取巡更点信息,不受灰尘、雨,雪,冰等环境影响,使用方便.传统的接触式巡更机〔巡更棒〕必须非常准确地与信息钮接触,很不方便,尤其在晚上.而且频繁接触极易造成巡更棒触头故障,在灰尘、雨,雪,冰等环境下无法使用.h.精致轻便,防冻防滑:尺寸130x38x27mm,重量150克,携带使用非常方便. 橡胶外壳,防冻防滑.i.可在超低温环境使用:工作温度:-40C〜70C,可在严寒环境下使用.②通讯座〔无线通讯座BS-1000〕通讯座为巡检器与计算机之间通信的桥梁,该产品通过无线感应方式收取巡检器储存的信息,并同时将其由USB线上传至计算机,为巡检软件提供原始数据传输提供RFID无线传输方式,让巡检器设计到达完全无接口,预防破坏.BS-1000具体工作性能如下:A.提供无线传输方式,让巡更巡检器设计到达完全无接口,预防破还.B.无须外部供电,采集数据时不消耗巡更巡检器内的储电.C. 4个LED指示灯,助于识别数据传输状态.D.全塑压制,可靠性高,外型高档.E.高通讯速度,每秒可传30条纪录.系统通讯的方式即BS-1000型通讯座数据上传方式:无线巡检器直接放入BS-1000通讯座,BS-1000通讯座通过BCL-40数据线连接计算机.数据上传到计算机软件中.通讯座供电通过BCL-40数据线供电,可以不使用专用电源进行供电.③巡逻治理软件在一个单位的巡逻治理需求中, 一般只需要配置一套巡逻治理软件, 或许这套软件是单机版的,或许是网络版的.蓝卡的巡逻治理软件具备很好使用的便利性及平安性:a.软件到达智能化、人性化设计,实现了傻瓜化操作.自动完成巡更机通讯、时钟校验、数据上载、数据下载、智能数据识别、方案核查、统计分析、异常处理等功能.稍懂计算机的人,不用培训就可使用,从来没接触过计算机的人稍加培训也会使用.b.软件具备智能排班功能.巡更治理最麻烦的工作是排班.独创智能排班功能, 自动识别周期和人员,而且只需一次排班便可长期使用,非常巧妙地解决了这一难题. 而一般的巡更治理软件大局部没有排班功能, 有的虽然有但无法核查,有的需要反复排班,使用起来非常烦琐.c.软件具备自动核查功能.软件自动对巡更数据进行处理,可以非常方便地查询排班方案的执行情况,如准时、早到、迟到、未巡、漏巡、顺序错误,有没有事件等;可以统计巡查次数、漏巡次数、顺序错次数、事件次数;还可以把数据输出给其他软件处理.d.软件具备灵活方便的发卡功能. 巡更机和通讯座可以兼作读卡机,不必手工输入长达10位卡号,也不用担忧卡埋入墙内后忘了记卡号.e.软件具备数据高度平安.无论数据还是程序都严格加密,无法更改.巡更机上不需带电永久保存近3万条巡更记录,可以重新上传.f.软件免维护.能自动完成数据月备份、周备份和即时备份,自动去除过期数据.g.网络化软件可通过局域网、拨号进行数据传输,集中处理及查询巡更结果. 适合大型的巡更巡检治理需要.h.软件可连接远程通讯座进行数据传输及治理远程巡更机.i.软件的信息传输平安.由于数据是通过专门软件实现传输,而非文件方式传输, 不需要开放系统共享权限,信息平安性有保证,不会造成信息威胁,不会造成病毒传播.j.软件的数据可靠.数据在通讯和存储中都进行加密和校验,无法更改,保证数据真实性.可靠的数据自动多重备份,其中有每日备份、每月备份,而且每天数据库都有导出备份,同时对巡查结果记录自动导出文件进行备份.④信息钮〔蓝卡BLC-6-28 〕EMID射频卡〔信息钮〕产品特点:a.非接触式EMID卡,可埋入墙内,预防人为破坏;________________________b.可在雨、雪、冰、尘、等条件下可靠使用,而接触式巡更点,那么不能使用;c.多种尺寸外型供选择,方便安装;d.巡更点卡为特制高灵敏度卡,在保证刷卡距离的同时,减少巡更机电源消耗;e.不需电源,不怕警棍电击;f.工作频率:125KHz EM格式;g.使用温度:-40 C〜85c ;h.封装:完全密封防水;i.尺寸:①6mmX28nlm状卡;j.使用BP系列巡更机的读卡距离〔土5mm : 50mm⑤人员卡〔蓝卡BLC-35,推荐使用EMID异形卡〔配钥匙环〕〕适用BP系列巡更机;工作频率:125KHz EM格式;使用温度:-40 C-85C;外型尺寸:36x30mm ;读卡距离:60mm外型美观,携带方便;使用人员卡可以借此实现多人共用一台巡更机;最主要的是可以把巡更记录归属到具体的人.5、系统安装(1)系统硬件安装①通信座安装:取出通信座,把通信座上的通信电缆插头插入计算机用口,再取出通信座电源接通通信座的电源,这时通信座的电源指示灯亮.②信息钮安装:信息钮可以作为巡查点地理位置标识,也可以用做人员身份识别.作为巡查点地理位置标识时,可用强力胶或双面胶粘贴在巡查点附近的墙壁、电线杆或树上.(2)系统软件安装治理软件安装盘为一张光盘,将光盘插入光驱,在window98〞开始菜单〞的“运行〞中启动光盘中的Setup程序,程序将提示您选择安装目录,用鼠标单击窗口中的“开始安装〞按钮开始安装,然后按程序提示完成软件安装.6、巡查操作巡查人员巡查时必须随身携带巡查棒, 巡查开始前先用巡查棒读取自已的身份信息钮,让巡查棒记录下巡查人员的姓名和身份信息,巡查人员到达巡查点后用巡查棒轻读取该点的信息钮,听到巡查棒发出“嘀〞的蜂鸣时,说明巡查棒已经记录下到达该点的时间和地理信息.依次巡查后续巡查点,巡查完毕,将巡查棒插入通讯座,启动治理软件,进入数据录取一读巡更棒数据,读取该巡查员的巡查记录并将记录数据存入计算机.巡查流程图如下:五、过程评估与改良在本次电子巡更系统方案设计中, 小组成员分工协作,查询大量资料,加深了对电子巡更系统的学习和理解.在方案设计过程中,开始时对于巡更系统的有线巡更和无线巡更的区别熟悉不清,通过查询资料后了解到它们的差异.作为智能化安保系统的一个重要组成局部,巡更系统在每一个职能化小区内是必然配置.但是,基于本钱以及巡更系统工程的难易程度的考虑,房产商一般会采用离线式巡更系统.然而,在线式巡更系统由于其无与伦比的实时性, 是离线式巡更系统无法做到的.那么是否有一种系统,兼具这2种巡更系统的优势呢?短距离无线巡更系统应该是个比拟例向的解决方法.通过本次巡更系统的方案设计,可以很好地把书本知识进行实践应用, 同时通过自主查阅资料拓展了对电子巡更系统的了解, 并且锻炼了动手水平,掌握了如何进行电子巡更系统方案设计.。
电子技术系统课程设计报告
模拟电子技术 课程设计报告设计题目: 直流稳压电源的设计与仿真1 课程设计的目的和任务1.1设计目的①学习基本理论在实践中综合运用的初步经验,掌握模拟电路设计的基本方法、设计步骤,培养综合设计与调试能力。
②通过集成直流稳压电源的设计,安装和调试,学会选择变压器、整流二极管、滤波电容、集成稳压器及相关元器件设计直流稳压电源;③掌握直流稳压电路的调试及技术指标的测试方法。
1.2设计任务设计集成直流稳压电源,满足:① 当输入电压在220V 交流电时,输出直流电压为5V ; ② 输出纹波电压小于5mv,稳压系数小于等于0.01; ③ 具有短路保护功能; ④ 最大输出电流为Imax=1.0A 。
2 课程设计的基本要求和技术指标2.1设计要求① 设计一个能输出正负5V 直流稳压电源; ② 拟定设计方案和设计步骤;③ 根据设计要求与技术指标设计好电路,选好元件及参数;④ 绘制原理图;得到仿真结果,运用saber 软件模拟测试有关技术指标。
班级:应用物理081学号:08411200125姓名:张丽佳指导教师:陈玮成绩:⑤撰写设计性报告。
2.2技术指标①电源输出电压为正负5V;②输入电压为220V/50HZ ;③最大输出电流为Imax=1.0A;④纹波电压小于等于5mv;⑤稳压系数小于等于0.01。
3基本原理3.1集成直流稳压电源概述在电子电路及电子设备中,通常都需要电压稳定的直流电源供电,作为电子电路中必不可少的组成部分,它的作用之一是为各级电路中的三极管提供合适的偏置,其次是作为整个电子电路能量来源。
常见的供电方式有两种,一种是采用干电池、蓄电池或其他形式如光电池等向电路供电,这种供电方式是用化学能或其他形式的能量转化为电能之后,向电路提供能量,其缺陷在于能量的使用要受实际条件的限制;另一种是利用电网向电路提供能量,其优势在于电网所提供的能量是源源不断的。
直流稳压电源一般由电源变压器,整流滤波电路及稳压电路所组成。
电力电子设计报告
.-2222234455667991010整流电路〔Rectifier〕是电力电子电路中浮现最早的一种,它的作用是将交流电能变为直流电能供应用电设备。
整流电路的应用十分广泛,例如直流电动机,电镀、店接电源,同步发机电励磁,通信系统电源等。
性质:电气工程及其自动化专业的必修实践性环节。
目的:1 、对 MATLAB 软件初步认识,学习 simulink的使用方法。
2 、培养学生综合运用知识解决问题的能力与实际动手能力。
3 、加深理解"电力电子技术"课程的根本理论。
4 、初步掌握电力电子电路的设计方法。
5 、培养独立思量、独立采集资料、独立设计的能力;6 、培养分析、总结及撰写技术报告的能力。
单相全控桥式晶闸管整流电路设计〔纯电阻负载〕:1.电源电压:交流 1000V/50Hz;2.输出功率: 500KW;3.移相范围:0 °-180°。
:〔1〕熟悉设计任务书,分析设计要求,借阅参考资料;〔2〕掌握 MATLAB的根本操作和用法;〔2〕在 simulink仿真中上设计硬件原理图;〔3〕修改原理图;〔4〕计算元件参数;〔5〕调试和仿真;〔6〕依元件参数选取厂家元件;〔7〕撰写设计报告,绘图等。
本次设计中要明确整流中半波可控与全波可控区别,明确整流电路工作原理,定性分析电路工作情况。
之后是实际上对单相全控桥式整流晶闸管电路的研究和设计,其中包括主电路和触发电路;随后仿照参考电路发展Matlab仿真,选取适宜的仿真元件,发展初步仿真,并对仿真结果发展分析与总结;理解电路定量分析计算的方法,并计算出主电路的各部件的参数,然后依照参数在各厂家的产品中选出适宜的工作器件。
整流电路可从各种角度发展分类,主要的分类方法有:按组成的器件可分为不可控、半控、全控三种;按电路构造可分为桥式电路和零式电路;按交流输入相数分为单相和多相电路;按变压器二次电流的方向是单相还是双向,又分为单拍电路和双拍电路。
助听器实验报告
助听器实验报告 Company number:【WTUT-WT88Y-W8BBGB-BWYTT-19998】篇一:电子系统设计实验报告编号:实验报告实验课程名称电子系统设计/单声道助听器专业班级电信1202学生学号学生姓名陈晓琳高莹实验指导教师顾智企实验课程名称:电子系统设计part 1一、实验项目名称:单通道助听器(分立元件)二、实验目的和要求:1.学习单声道助听器(分立元件)电路的设计与调整方法2.掌握电子仪器和仪表的使用三、实验内容和原理:1、系统组成框图:2、单元电路设计:1).声音采集这里的声音采集是采用驻极体电容式咪头。
咪头,是将声音信号转换为电信号的能量转换器件,是和喇叭正好相反的一个器件(电→声)。
声音信号经过咪头变成电信号,经过c1,c1作为耦合电容允许交流信号正常通过,而隔断直流电流,使之对下一级放大电路工作点不会产生影响。
2).一级放大9014三极管是一种小电压,小信号,小电流的npn型硅三极管。
信号经过三极管一级放大,经过c2耦合电容允许交流信号正常通过,而隔断上一级放大电路的直流电流,使之对下一级放大电路工作点不会产生影响。
此为共射极放大电路,交流小信号通过耦合电容c1以电压的形式加到三极管的b~e之间,以电流的形式通过b~e。
电子(负电荷)的传递方向为e~b。
r2用来提供b~e接面适当的正向偏压以及可使三极管进入线性工作区的电流。
这个部分称为输入回路。
r3用来提供b~c接面适当的反向偏压。
电子(负电荷)的传递方向为b~c。
集电极收集大量电子(负电荷),少数空穴(正电荷)漂移到基极与基极的空穴一起复合掉一部分e向c的电子(负电荷)。
被复合掉的基区空穴由基极电源eb重新补给。
由于e 的电子浓度大于b,电位小于b,电源eb在补充空穴的同时带来了从e~b~c的大量电子。
三极管完成放大电流作用。
放大了的信号电流通过rc在c极上产生压降。
这个压降就是输出端信号电压,是交流,可以通过电容c2耦合出去。
电子系统设计报告
电子系统设计报告一、目的加强对51单片机的了解,深入地学习和系统的架构基于51单片机的简单系统。
了解其构造和功能以及外围电路。
从而更好地掌握接口技术的原理,并认识相关电子元件和电子芯片。
二、内容要求根据“小猪〞自己确定的题目,制作基于51单片机的相应作品。
题目:闹钟作品功能:显示时间、调节时间、显示闹钟时间、调节闹钟时间、到点闹钟、留声等。
三、主要元件ISD1760、扬声器、数码管、按键、STC52四、成员分工小猪做的是单片机最小系统和数码管显示及按键模块的电路,留声模块由“鸟才〞制作。
软件也是小猪编写〔为表达课程特点我使用的是汇编语言,伟福软件〕。
五、系统说明单片机P0口接4 4键盘,实现控制功能,P1口输出数码管段选码,P2 口低三位输出位选码由138译码器译码;P3.0控制扬声器播放录音;录音操作由语音芯片完成。
六、电路框图七、程序框图八、软件清单〔汇编写得相对长了点比拟无奈〕hen0 bit p0.0 ;预定义键盘横向位hen1 bit p0.1hen2 bit p0.2hen3 bit p0.3shu0 bit p0.4 ;预定义键盘竖向位shu1 bit p0.5shu2 bit p0.6shu3 bit p0.7shi1 equ 39hshi2 equ 38hshifen equ 3ah ;预定义时间缓存fen1 equ 3chfen2 equ 3bhfenmiao equ 3dhmiao1 equ 3fhmiao2 equ 3ehnshi1 equ 32h ;预定义闹钟时间缓存nshi2 equ 31hnshifen equ 33hnfen1 equ 35hnfen2 equ 34hnfenmiao equ 36hnmiao1 equ 38hnmiao2 equ 37horg 00hljmp mainorg 0bhljmp time0org 40hmain:movnmiao1,#0 ;缓存初始化mov nmiao2,#0mov nshifen,#10mov nfenmiao,#10mov nshi1,#0mov nshi2,#0mov nfen1,#0mov nfen2,#0mov miao1,#0mov miao2,#5mov shifen,#10mov fenmiao,#10 mov shi1,#3mov shi2,#2mov fen1,#9mov fen2,#5mov r2,#250mov p3,#0ffhMOVTMOD,#21h ;定时器模式MOVTH0,#240 ;定时中断时间MOV TL0,#96SETB TR0SETB ET0SETB EAsjmp $ jianpan:push amov a,#0if_press:;第一次扫描横向mova,#00001111Bmov p0,aclr cmov a,#0mov c,hen0rlc amov c,hen1rlc amov c,hen2rlc amov c,hen3rlc acjnea,#0fh,if_press2pop aretif_press2:;第二次扫描横向acalldelay1ms ;去抖clr cmov a,#0mov c,hen0rlc amov c,hen1rlc amov c,hen2rlc amov c,hen3rlc acjnea,#0fh,getnumberpop aretgetnumber:;读键值swap amov r7,amova,#11110000bmov p0,amov a,#0clr cmov c,shu0rlc amov c,shu1rlc amov c,shu2rlc amov c,shu3rlc aadd a,r7cpl acjnea,#00010001b,read1 ;定义键功能mov a,#1incfen1 ;时间分低位加一sjmpnumber_outread1:cjnea,#00010010b,read2mov a,#2decfen1 ;时间分低位减一sjmpnumber_outread2:cjnea,#00010100b,read3mov a,#3incfen2 ;时间分高位加一sjmpnumber_outread3:cjnea,#00011000b,read4mov a,#4decfen2 ;时间分高位减一sjmpnumber_outread4:cjnea,#00100001b,read5mov a,#5inc shi1sjmpnumber_outread5:cjnea,#00100010b,read6mov a,#6dec shi1sjmpnumber_outread6:cjnea,#00100100b,read7mov a,#7inc shi2sjmpnumber_outread7:cjne a,#00101000b,read8mov a,#8dec shi2sjmp number_outread8:cjne a,#01000001b,read9mov a,#9sjmp number_outread9:cjne a,#01000010b,read10mov a,#0ahsjmp number_outread10:cjne a,#01000100b,read11mov a,#0bhsjmp number_outread11:cjne a,#01001000b,read12mov a,#0chsjmp number_outread12:cjne a,#10000001b,read13mov a,#0dhsjmp number_outread13:cjne a,#10000010b,read14mov a,#0ehsjmp number_outread14:cjne a,#10000100b,read15mov a,#0fhsjmp number_outread15:cjne a,#10001000b,number_outmov a,#0FFhclr EAljmp nshezhi进入闹钟设置number_out:检查是否溢出,调整缓存数值mov a,shi2cjne a,#2,ookmov a,shi1cjne a,#2,ok_2ljmp ookok_2: cjne a,#1,ok_1ljmp ookok_1: cjne a,#0,ok_0ljmp ookok_0:mov shi1,#3ook: mov a,fen1cjne a,#10,fen1_okmov fen1,#0sjmp backfen1_ok:cjnea,#255,fen1_ok1mov fen1,#9sjmp backfen1_ok1:mov a,fen2cjne a,#6,fen2_okmov fen2,#0sjmp backfen2_ok:cjnea,#255,fen2_ok1mov fen2,#5sjmp backfen2_ok1:mov a,shi1cjne a,#10,shi1_okmov shi1,#0sjmp backshi1_ok:cjne a,#255,shi1_ok1mov shi1,#9sjmp backshi1_ok1:mov a,shi2cjne a,#3,shi2_okmov shi2,#0sjmp backshi2_ok:cjne a,#255,shi2_ok1mov shi2,#2mov a,shi1cjne a,#3,ok3sjmp backok3: cjne a,#2,ok2sjmp backok2: cjne a,#1,ok1sjmp backok1: cjne a,#0,ok0sjmp backok0:mov shi1,#3sjmp backshi2_ok1:cjne a,#2,backmov a,shi1cjne a,#4,backmov shi1,#0mov shi2,#0sjmp backback:pop aretdelay1ms: mov r5,#10delay1ms2: mov r6,#95delay1ms1: djnz r6,delay1ms1djnzr5,delay1ms2retdelay30ms:mov r5,#150delay30ms2:mov r6,#200delay30ms1:djnz r6,delay1ms1djnzr5,delay1ms2retnaozhong: 闹钟时间比拟mov a,miao1cjnea,#0,naozhong_backmov a,miao2cjnea,#0,naozhong_backmov a,fen1cjnea,nfen1,naozhong_backmov a,fen2cjnea,nfen2,naozhong_backmov a,shi1cjnea,nshi1,naozhong_backmov a,shi2cjnea,nshi2,naozhong_backmov p3,#0mov r6,#30 naoback:retnaozhong_back:djnz r6,naobackmov p3,#0ffhrethui_main:lcall naozhongpop aretitime0: 中断push amov th0,#240mov tl0,#96lcall display 显示扫描djnz r2,hui_mainmov r2,#250lcall jianpan 键盘查询inc miao1mov a,miao1cjnea,#10,hui_mainmov miao1,#0 ;秒两位之间进位inc miao2mov a,miao2cjne a,#6,hui_mainmov miao2,#0 ;秒到分的进位inc fen1mov a,fen1cjnea,#10,hui_mainmov fen1,#0 ;分的进位inc fen2mov a,fen2cjne a,#6,hui_mainmov fen2,#0 ;分到时的进位inc shi1mov a,shi1cjnea,#4,budengyusisjmp shifoyichubudengyusi:cjnea,#10,hui_mainmov shi1,#0 ;时的进位inc shi2shifoyichu:mov a,shi2cjne a,#2,hui_mainmova,shi1 ;??时间的溢出cjne a,#4,hui_mainmovshi1,#0 ;24小时后时间归零mov shi2,#0ljmp hui_maindisplay: 时间显示mov r5,#8mov r0,#3fhdisplay1:mov a,r0movdptr,#shumayizhimovc a,a+dptrdec r5dec r0mov p2,r5 ;控制数码管位选mov p1,a ;p1口接数码管lcall delaycjne r5,#0,display1retdelay: mov r4,#0ffhdlll: djnz r4,dlllretnshezhi: 闹钟设置push anjianpan:nif_press:;第一次扫描横向mova,#00001111Bmov p0,aclr cmov a,#0mov c,hen0rlc amov c,hen1rlc amov c,hen2rlc amov c,hen3rlc acjnea,#0fh,nif_press2lcall ndisplaymov 00h,#100 ;标记sjmp nif_press nif_press2:;第二次扫描横向lcalldelay30ms ;去抖clr cmov a,#0mov c,hen0rlc amov c,hen1rlc amov c,hen2rlc amov c,hen3rlc acjnea,#0fh,ngetnumbersjmp nif_press ngetnumber:;读键值swap amov r7,amova,#11110000bmov p0,amov a,#0clr cmov c,shu0rlc amov c,shu1rlc amov c,shu2rlc amov c,shu3rlc aadd a,r7cpl alcall delay30mscjne a,00h,rightljmp nif_pressright:mov r0,acjnea,#00010001b,nread1mov a,#1inc nfen1ljmpnnumber_outnread1:cjnea,#00010010b,nread2mov a,#2dec nfen1ljmpnnumber_outnread2:cjnea,#00010100b,nread3mov a,#3inc nfen2sjmpnnumber_outnread3:cjnea,#00011000b,nread4mov a,#4dec nfen2sjmpnnumber_outnread4:cjnea,#00100001b,nread5mov a,#5inc nshi1sjmpnnumber_outnread5:cjnea,#00100010b,nread6mov a,#6dec nshi1sjmpnnumber_outnread6:cjnea,#00100100b,nread7mov a,#7inc nshi2sjmpnnumber_outnread7:cjnea,#00101000b,nread8mov a,#8dec nshi2sjmpnnumber_outnread8:cjnea,#01000001b,nread9mov a,#9sjmpnnumber_outnread9:cjnea,#01000010b,nread10mov a,#0ahsjmpnnumber_outnread10:cjnea,#01000100b,nread11mov a,#0bhsjmpnnumber_outnread11:cjnea,#01001000b,nread12mov a,#0chsjmpnnumber_outnread12:cjnea,#10000001b,nread13mov a,#0dhsjmpnnumber_outnread13:cjnea,#10000010b,nread14mov a,#0ehsjmpnnumber_outnread14:cjnea,#10000100b,nread15mov a,#0fhpop aMOVTMOD,#21hMOVTH0,#240 ;T0=4MSMOV TL0,#96SETB TR0SETB ET0SETB EAljmp number_outnread15:cjne a,#10001000b,nnumber_outmov a,#0FFh nnumber_out:mov a,nshi2cjne a,#2,nookmov a,nshi1cjne a,#2,nok_2ljmp nooknok_2: cjne a,#1,nok_1ljmp nooknok_1: cjne a,#0,nok_0ljmp nooknok_0:mov nshi1,#3nook: mov a,nfen1cjne a,#10,nfen1_okmov nfen1,#0sjmp nbacknfen1_ok:cjnea,#255,nfen1_ok1mov nfen1,#9sjmp nbacknfen1_ok1:mov a,nfen2cjne a,#6,nfen2_okmov nfen2,#0sjmp nbacknfen2_ok:cjnea,#255,nfen2_ok1mov nfen2,#5sjmp nbacknfen2_ok1:mov a,nshi1cjne a,#10,nshi1_okmov nshi1,#0sjmp nbacknshi1_ok:cjnea,#255,nshi1_ok1mov nshi1,#9sjmp nbacknshi1_ok1:mov a,nshi2cjne a,#3,nshi2_okmov nshi2,#0sjmp nbacknshi2_ok:cjnea,#255,nshi2_ok1mov nshi2,#2mov a,nshi1cjne a,#3,nok3sjmp nbacknok3: cjne a,#2,nok2sjmp nbacknok2: cjne a,#1,nok1sjmp nbacknok1: cjne a,#0,nok0sjmp nbacknok0:mov nshi1,#3sjmp nbacknshi2_ok1:cjne a,#2,nbackmov a,nshi1cjne a,#4,nbackmov nshi1,#0mov nshi2,#0lcall ndisplaynback:ljmp njianpanndisplay:mov r3,#8mov r0,#38h ;与闹钟时高位对应ndisplay1:mov a,r0movdptr,#shumayizhimovc a,a+dptrdec r3dec r0mov p2,r3 ;控制数码管位选mov p1,a ;p1口接数码管lcall delay1mscjne r3,#0,ndisplay1ret;闹钟完毕shumayizhi:DB3FH,06H,5BH,4FH,66H,6DH,7DH;共阴字码表DB07H,7FH,6FH,40hend九、主要难点小猪觉得硬件上不存在问题。
电子系统课程设计报告
国家电工电子实验教学中心电子系统课程设计设计报告设计题目:数控脉冲信号发生器学院:电子信息工程学院专业:学生姓名:学号:指导教师:目录1 设计任务要求 (2)1.1 基本部分 (2)1.2 发挥部分 (2)2 设计方案及论证 (2)2.1 任务分析 (2)2.2 方案比较 (3)2.3 系统结构设计 (4)2.4 具体电路设计 (5)2.4.1 产生基准频率 (5)2.4.2 N分频电路 (6)2.4.3 锁相环设计 (7)2.4.4 功率放大电路 (9)3 制作及调试过程 (12)3.1 制作与调试流程 (12)3.1.1 分频电路 (12)3.1.2 锁相环电路 (12)3.1.3 功率放大电路 (12)3.2 遇到的问题与解决方法 (12)4 系统测试 (13)4.1 测试方法 (13)4.1.1 脉冲信号产生部分 (13)4.1.2 功率放大电路 (13)4.2 测试数据 (13)4.3 数据分析和结论 (13)5 系统使用说明 (14)5.1 系统外观及接口说明 (14)5.2 系统操作使用说明 (14)6 总结 (15)6.1 本人所做工作 (15)6.2 收获与体会 (15)6.3 缺陷与不足 (15)6.4 对本课程的建议 (16)7 参考文献 (16)1 设计任务要求1.1 基本部分(1)输出1kHz~15kHz的方波脉冲信号,占空比50%,波形良好,无明显失真。
(2)信号发生器输出电阻不限,在负载电阻为100Ω时,输出脉冲信号电平满足TTL电平要求。
(3)输出信号频率可通过拨码开关输入二进制数字预置,步进频率1kHz(即可输出信号频率1kHz、2kHz、3kHz、……、15kHz),要求拨码开关所预置的二进制数值即为输出频率值(单位:kHz)。
输出信号频率的精度和稳定度要求优于10-4。
1.2 发挥部分(1)输出信号频率范围2.0kHz~20.0kHz,步进频率0.1kHz(即可输出信号频率2.0kHz、2.1kHz、2.2kHz、……、19.9kHz,20.0kHz)。
助听器实验报告
篇一:电子系统设计实验报告编号:实验报告实验课程名称电子系统设计/单声道助听器专业班级电信1202学生学号学生姓名陈晓琳高莹实验指导教师顾智企实验课程名称:电子系统设计part 1一、实验项目名称:单通道助听器分立元件二、实验目的和要求:1.学习单声道助听器分立元件电路的设计与调整方法2.掌握电子仪器和仪表的使用三、实验内容和原理:1、系统组成框图:2、单元电路设计:1.声音采集这里的声音采集是采用驻极体电容式咪头;咪头,是将声音信号转换为电信号的能量转换器件,是和喇叭正好相反的一个器件电→声;声音信号经过咪头变成电信号,经过c1,c1作为耦合电容允许交流信号正常通过,而隔断直流电流,使之对下一级放大电路工作点不会产生影响;2.一级放大9014三极管是一种小电压,小信号,小电流的npn型硅三极管;信号经过三极管一级放大,经过c2耦合电容允许交流信号正常通过,而隔断上一级放大电路的直流电流,使之对下一级放大电路工作点不会产生影响;此为共射极放大电路,交流小信号通过耦合电容c1以电压的形式加到三极管的b~e之间,以电流的形式通过b~e;电子负电荷的传递方向为e~b; r2用来提供b~e接面适当的正向偏压以及可使三极管进入线性工作区的电流;这个部分称为输入回路;r3用来提供b~c接面适当的反向偏压;电子负电荷的传递方向为b~c;集电极收集大量电子负电荷,少数空穴正电荷漂移到基极与基极的空穴一起复合掉一部分e向c的电子负电荷;被复合掉的基区空穴由基极电源eb重新补给;由于e的电子浓度大于b,电位小于b,电源eb在补充空穴的同时带来了从e~b~c的大量电子;三极管完成放大电流作用;放大了的信号电流通过rc 在c极上产生压降;这个压降就是输出端信号电压,是交流,可以通过电容c2耦合出去;3.二级放大此为共集电极放大电路,输入信号与输出信号同相,无电压放大作用,电压增益小于1且接近于1;4.信号输出喇叭将电信号转换成声音信号输出3、总电路图及工作原理:工作原理:它是一个由晶体三极管构成的多级音频放大器;9014左与外围阻容元件组成了典型的阻容耦合放大电路,担任前置音频电压放大;9014右、3ax31组成了两级直接耦合式功率放大电路,其中:3ax31接成发射极输出形式,它的输出阻抗较低,以便与8ω低阻耳塞式耳机相匹配;咪头接收到声波信号后,输出相应的微弱电信号;该信号经电容器c1耦合到9014左的基极进行放大,放大后的信号由其集电极输出,再经c2耦合到9014右进行第二级放大,最后信号由3ax31发射极输出;电路中,c4为旁路电容器,其主要作用是旁路掉输出信号中形成噪音的各种谐波成份,以改善喇叭的音质;c3为滤波电容器,主要用来减小电池g的交流内阻实际上为整机音频电流提供良好通路,可有效防止电池快报废时电路产生的自激振荡,并使喇叭发出的声音更加清晰响亮;4、调试过程及测试结果:1、检查电路有否连接错误;2、用万用表“通断档”测量电源正极---与正极连接的各点是否欧姆连接即0欧姆;3、用万用表“通断档”测量电源负极---与负极连接的各点是否欧姆连接即0欧姆;4、用万用表“k档”测量电源正、负极之间电阻应大于8k;5、连接电源3v,用手触摸咪头,听喇叭有无声音;6、如有声音,进入输入-输出波形调节程序;如无声音,则检查电路;7、输入-输出波形调节:将信号发生器连接在咪头两端注意探头正、负极的连接,示波器连接在q1集电极和地之间注意探头正、负极的连接,调节信号发生器输出频率1khz、vp-p=20mv正弦信号,观察示波器波形和读出vout,记录波形和vout;然后,将示波器连接在q2集电极和地之间,记录波形和vout;最后,将示波器连接在喇叭两端记录波形和vout;8、放大倍数调节:①改变r2值由原68k改为33k,用示波器测量q1集电极和地之间两端波形和vout,测量q2集电极和地之间两端波形和vout,②改变r4值由原100k改为51k,200k,用示波器测量q2集电极和地之间两端波形和vout,记录r5、r7值和测得的运放1脚、14脚和喇叭两端波形和vout,列表表示;9、测量整机的静态和动态电流,切断电源连线,串联接入万用表,置“直流电流档”,记录电流值,并计算整机功秏w;四、实验主要仪器设备:电源、信号发生器、示波器、万用表五、操作方法与实验步骤先了解电路图的各个部分电路,了解各元器件的作用,再清点和检测元器件,再根据电路图,在电路板上合理地安排各个元器件的位置,要求简单好看,再对各元器件进行焊接,细心处理好每一个焊点,保证焊接质量,焊好后剪掉多余的引线,对焊好的电路板要进行检查,检查有没有短路或者断路,最后再根据实验要求进行调试;篇二:耳聋助听器设计报告设计报告一、设计要求二、设计的作用、目的1、设计作用:2、设计目的:三、设计的具体实现1、系统概述1现状及发展趋势:什么是耳聋助听器一切有助于听力残疾者改善听觉障碍,进而提高与他人会话交际能力的工具、设备、装置和仪器等;耳聋助听器有电力的和非电力的两类,后者目前已被废弃;前者又有电子管式和晶体管式两种;晶体管式耳聋助听器最为灵巧轻便,于1950年问世后已取代电子管式而被普遍采用;集成电路的的问世又迅速地取代了“晶体管耳聋助听器”,集成电路ic于1964年问世,其体种小,低耗电,稳定性更高;近年来随科学技术的飞速发展,耳聋助听器也逐步向智能化、体内化发展:1982年“驻极体麦克风”的问世实现耳聋助听器微型化,灵敏度及清晰度更是达到了新的水平;而1990年随着“电脑编程耳聋助听器”的问世,耳聋助听器增益初步智能化调整,又让耳聋助听器达到了另一新水平;1997年,“数字耳聋助听器”的增益智能化调整,使用极为方便,性能达到了更高的水平;今天——我们所用的大部分耳聋助听器都是“数字电脑编程”的,根据我们每个人听力损失的程度不同来调整,对我们的助听效果又提高了一个层次,让我们听得更多耳聋助听器发展的趋势在可以预见的未来,耳聋助听器发展有三个主题:1、小型化:从19世纪末的桌面大小到20世纪末的重量不足一克,耳聋助听器外型尺寸越来越小;尽管目前还未找到进一步大幅度减小耳聋助听器外型尺寸的有效方法,但作为趋势,耳聋助听器肯定会越做越小,越做越美观;微型耳聋助听器不仅是制造商的希望,更是广大耳聋助听器使用者的要求;2、个性化:随着相关听力知识的普及,人们会越来越重视自己的听力,同时也会发现听力损失完全相同的听力障碍者极少,每个听障者的听力状况都有其特殊的一面;因此,为每个听障者个别定制耳聋助听器以保证使用效果必然会成为发展趋势;3、智能化:要想进一步提高助听质量比如清晰度就必须使耳聋助听器具备记忆能力、重新编码能力等“智能”,比如抗噪声、声源定向定位、音质定位等各类类耳蜗性能;这一切,需要计算机技术与数字化技术的支持;智能化耳聋助听器已经开始受到广泛重视,但作为商品还远远没有成熟,远远不能满足广大特殊用户的需求3原理特性:耳聋助听器的工作原理所有耳聋助听器不外由传声器话筒、放大器和受话器耳机三个主要部分组成;传声器为声电换能器,将外界声信号转变为电信号,输入放大器后使声压放大到1万乃至几万倍,再经受话器输出这个放大后的声信号;耳聋助听器还应包括电池能源以推动机器工作;由于不同性质、不同程度的听觉损伤机能差异也不同,因此装置音量调节、音调调节、最大声输出调节、电话拾音等设备,以及o-m-t关断-话筒-电话三档开关都是不可缺少的;耳聋患者绝大多数是感音神经聋,其中相当多的人具有重振阳性现象;他们对小声听取感到困难,但稍响的声音又难以忍受,响度感觉的动态范围明显缩小;由于电子学上采用 agc或pc线路实现压缩和限幅功能,以使这类聋人较满意地应用耳聋助听器克服听觉障碍;耳聋助听器的性能及指标一个合格的耳聋助听器至少应考虑下述六项性能指标:1、频率范围;低档耳聋助听器的频率范围至少在 300~3000hz,普通耳聋助听器高频应达到4000hz,高级耳聋助听器的频率范围可在80~8000hz之间;2、最大声输出或饱和声压级sspl;实际上代表了耳聋助听器的最大功率输出;使用耳聋助听器时的最大声输出应低于患耳的不舒适阈,尤其对重振阳性的患耳,必须控制最大声输出以保护患耳;3、最大声增益;主要表示耳聋助听器的放大能力,各国生产的耳聋助听器增益多在30~80db之间;一般说,耳聋程度轻的要选择增益小的,程度重的应分别选用增益中等的或大的耳聋助听器;在具体使用中耳聋助听器上都备有使声增益在一定范围内变动的音量调节开关;选配适合的耳聋助听器可依一些公式预先计算,最简易的方法是按照纯音听力图,对 500、1000、2000hz三个音频的增益补偿调节,以其阈值的一半或稍多为宜,多能获得满意效果;4、频率响应和音调调节;为满足聋人听力要求,耳聋助听器应提供各种不同的频率响应,频率不同反应在听觉上就是音调不同;为了使耳聋助听器的频响比较符合聋人的听力损失特点,音调调节钮上设置一些不同音调,通常l代表低音,n为正常,h为高音;5、信号噪声比 s/n;耳聋助听器耳机放大后的输出往往是语言信号和恼人的噪声同时存在,信号噪声比值越大,语言信息输出的质量也越好;优质耳聋助听器的信噪比可达40db左右,至少应保证30db以上;6、谐波失真;为了能高地传输放大后的声信号,耳聋助听器的失真度应越小越好,按规定失真应小于10%,而小于5%的基本上可以保持语言的逼真性;2、电路设计、仿真与分析1主要参数及计算:2元器件选择:vt1、vt2选用9014或3dg8型硅npn小功率、低噪声三极管,要求电流放大系数β≥100;vt3宜选用3ax31型等锗pnp小功率三极管,要求穿透电流iceo尽可能小些,β≥30即可;b选用cm-18w型φ10mm×高灵敏度驻极体话筒,它的灵敏度划分成五个挡,分别用色点表示:红色为-66db,小黄为-62db,大黄为-58db,兰色为-54db,白色>-52db;本制作中应选用白色点产品,以获得较高的灵敏度;b也可用蓝色点、高灵敏度的crz2-113f型驻极体话筒来直接代替;xs选用型φ口径耳塞式耳机常用的两芯插孔,买来后要稍作改制方能使用;改制方法参见图2所示,用镊子夹住插孔的内簧片向下略加弯折,将内、外两簧片由原来的常闭状态改成常开状态就可以了;改制好的插孔,要求插入耳机插头后,内、外两簧片能够可靠接通,拔出插头后又能够可靠分开,以便兼作电源开关使用;耳机采用带有型φ两芯插头的8ω低阻耳塞机; r1~r5均用rtx-1/8w型碳膜电阻器;c1~c3均用cd11-10v型电解电容器,c4用ct1型瓷介电容器;g用两节5号干电池串联而成,电压3v;3仿真电路图4pcb电路板模拟图5工作原理:一、工作原理耳聋助听器的电路如图所示,它实质上是一个由晶体三极管vt1~vt3构成的多级音频放大器;vt1与外围阻容元件组成了典型的阻容耦合放大电路,担任前置音频电压放大;vt2、vt3组成了两级直接耦合式功率放大电路,其中:vt3接成发射极输出形式,它的输出阻抗较低,以便与8ω低阻耳塞式耳机相匹配;驻极体话筒b接收到声波信号后,输出相应的微弱电信号;该信号经电容器c1耦合到vt1的基极进行放大,放大后的信号由其集电极输出,再经c2耦合到vt2进行第二级放大,最后信号由vt3发射极输出,并通过插孔xs送至耳塞机放音;电路中,c4为旁路电容器,其主要作用是旁路掉输出信号中形成噪音的各种谐波成份,以改善耳塞机的音质;c3为滤波电容器,主要用来减小电池g的交流内阻实际上为整机音频电流提供良好通路,可有效防止电池快报废时电路产生的自激振荡,并使耳塞机发出的声音更加清晰响亮;四、心得体会及建议心得体会:1、通过这次课程设计,加强了我们动手、思考和解决问题的能力;在整个设计过程中,我们通过这个方案包括设计了一套电路原理和pcb连接图,和芯片上的选择;这个方案总共使用了74ls248,cd4510各两个,74ls04,74ls08,74ls20,74ls74,ne555定时器各一个;2、在设计过程中,经常会遇到这样那样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了,因此耗费在这上面的时间用去很多;3、我沉得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识;平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了;而且还可以记住很多东西;比如一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻;认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准;所以这个期末测试之后的课程设计对我们的作用是非常大的;4、在制作pcb时,发现细心耐心,恒心一定要有才能做好事情,首先是线的布局上既要美观又要实用和走线简单,兼顾到方方面面去考虑是很需要的,否则只是一纸空话;5、在画好原理图后的做pcb版时,由于项目组成员对单面板的不熟悉,导致布线后元件出现在另一边,增加了布线难度,也产生很多不曾注意的问题,今后要牢记这个教训,使以后布线更加顺利;6、经过两个星期的实习,过程曲折可谓一语难尽;在此期间我们也失落过,也曾一度热情高涨;从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长;生活就是这样,汗水预示着结果也见证着收获;劳动是人类生存生活永恒不变的话题;通过实习,我才真正领略到“艰苦奋斗”这一词的真正含义,我才意识到老一辈电子设计为我们的社会付出;我想说,设计确实有些辛苦,但苦中也有乐,在如今单一的理论学习中,很少有机会能有实践的机会,但我们可以,而且设计也是一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契,多少人间欢乐在这里洒下,大学里一年的相处还赶不上这十来天的合作,我感觉我和同学们之间的距离更加近了;我想说,确实很累,但当我们看到自己所做的成果时,心中也不免产生兴奋;正所谓“三百六十行,行行出状元”;我们同样可以为社会作出我们应该做的一切,这有什么不好我们不断的反问自己;也许有人不喜欢这类的工作,也许有人认为设计的工作有些枯燥,但我们认为无论干什么,只要人生活的有意义就可;社会需要我们,我们也可以为社会而工作;既然如此,那还有什么必要失落呢于是我们决定沿着自己的路,执着的走下去;同时我认为我们的工作是一个团队的工作,团队需要个人,个人也离不开团队,必须发扬团结协作的精神;某个人的离群都可能导致导致整项工作的失败;实习中只有一个人知道原理是远远不够的,必须让每个人都知道,否则一个人的错误,就有可能导致整个工作失败;团结协作是我们实习成功的一项非常重要的保证;而这次实习也正好锻炼我们这一点,这也是非常宝贵的;对我们而言,知识上的收获重要,精神上的丰收更加可喜;挫折是一份财富,经历是一份拥有;这次实习必将成为我人生旅途上一个非常美好的回忆篇三:西电电子创新实验大报告电子产品创作设计课程项目设计论文题目:助听器设计院系: 电子工程学院班级: 021251西安电子科技大学助听器制作摘要:助听器 hearing aid 是一个有助于听力残疾者改善听觉障碍,进而提高与他人会话交际能力的工具、设备、装置和仪器等;广义上讲凡能有效地把声音传入耳朵的各种装置都可以看作为助听器,狭义上讲助听器就是一个电声放大器,通过它将声音放大使聋人听到了原来听不清楚,听不到的声音,这种装置就是助听器;当前助听器主要分为模拟助听器与数字助听器两种;其中模拟助听器是不管患者的听力损失曲线形状,对声音进行统一的放大,而数字助听器是根据患者的听力损失曲线形状进行精确的补偿;由于数字助听器对听力的补偿效果及患者的残余听力保护效果都要较模拟助听器更好,所以当前国内市场主要销售的产品以数字助听器为主;助听器从佩戴位置及外形的角度又可分为耳背式助听器,耳内式助听器,耳道式助听器,完全耳道式助听器,100%隐形助听器等;发展史助听器hearing aid是一种供听障者使用的、补偿听力损失的小型扩音设备全聋的患者无法通过助听器听到声音,其发展历史可以分为以下七个时代:手掌集音时代、炭精时代、真空管、晶体管、集成电路、微处理器和数字助听器时代;人类最早、最实用的“助听器”可能是听障者自己的手掌;将手掌放在耳朵边形成半圆形喇叭状,可以很好地收集声音,也可以阻挡了部分来自耳后的声音,虽然这种方法的增益效果在中高频仅为5~10db,而且也不是现代意义上的助听器,但是这是最自然的助听方法;仍然可以看到一些老年人在倾听别人讲话时用手掌来集音的情况;许多哺乳动物都有硕大的耳朵,所以它们的听力比人要好得多;受到手掌集音的启发,一些有心人先后发明了各种形状的、简单的机械装置,如象嗽叭或螺号一样的“耳喇叭”,木制的“听板”、“听管”,象帽子和瓶子一样的“听帽”、“听瓶”,象扇子和动物翅膀一样的“耳扇翼”,以及很长的象听诊器一样的“讲话管”,等等;由于人们认为听管越长集音效果越好,所以有的听管竟长达几十厘米,甚至一米多;听别人讲话时用手拿着听管伸到别人的嘴边,样子滑稽可笑,但却使聋人提高了听力;同时,也提醒讲话者尽量大声讲话;这种简单的机械助听装置一直使用了几百年,直到十九世纪,才逐渐被炭精电话式助听器取代;1878年,美国科学家bell发明了第一台炭精式助听器;这种助听器是由炭精传声器、耳机、电池、电线等部件组装而成;1890年,奥地利科学家ferdinant alt制备出了第一代电子管助听器;1904年,丹麦人hans demant与美国人resse hutchison共同投资批量生产助听器;到二十世纪40年代,已经有气导和骨导两种类型的助听器了;这个时期的助听器在技术上已经有了较大的发展和提高,虽然能够满足一些聋人的需要,但是,还有许多缺点,如噪声太大,体积笨重如17寸电视机,不易携带,等;1920年,热离子真空管热阴极电子管问世不久,就出现了真空管助听器;随着真空管技术的不断发展,助听器体积逐渐变小,实现了主机和电池的分离;1921年,英国生产了第一台商业性电子管助听器;由于电子管需要两个电源供电一是加热电子管中的灯丝,使之发放电子;二是驱动电子通过电栅到达阳极,因此这种助听器体积大而笨重,虽然增益和清晰度较好,但几乎无法携带;随着时间的推移,汞电池代替了锌电池,使电池的体积显着减小,电池与助听器终于可以合为一体了;第二次世界大战时,出现了如印刷电路和陶瓷电容等新技术材料,使得一体式助听器的体积显着缩小,这样,助听器就可以随身携带了;逐渐地,助听器也采用了削峰peak clipping,pc和压缩 automatic gaincontrol,agc等技术;1943年,开始研制集成式助听器,将电源、传声器和放大器装在一个小盒子内,为现代盒式助听器的雏形;同年,丹麦建立了两家工厂批量生产助听器,一家是oticon,一家是danavox;助听器的体积也越来越小,最后,竟能像香烟盒一样大,携带已非常方便;1948年,半导体问世,电子工程师们立即将半导体技术应用于助听器,获得较好效果;采用一部分半导体元件,可以使助听器的体积进一步缩小,如果全部采用半导体元件,声反馈将不可避免; 1953年,晶体管助听器问世,使助听器向微型化发展提供了可能性;1954年,出现了眼镜式助听器;为了避免声反馈,设计者将接受器和麦克风分别装在两边的眼镜腿上,但未能实现双耳配戴;1955年,推出了整个机身都在单个镜腿上的眼镜式助听器,使双耳同时配戴助听器成为可能;1956年,制成了耳背式助听器,不仅体积进一步减小,优越性也超过了眼镜式和盒式助听器,成为全球销售量最大的助听器;1957年,耳内式助听器问世;新的陶瓷传声器频率响宽阔平坦,克服了以往压电晶体的不足;钽电容的出现,使电容体积进一步减小,晶体管电路向集成电路这一小型化方向快速发展;随着大规模集成电路的出现,助听器的体积进一步减小,耳内式助听器出现以后不久,半耳甲腔式、耳道式、完全耳道式助听器相继出现,在很大程度上满足了患者心理和美观上的需要;1958年,中国开始生产盒式助听器;1988年出现的可编程助听器,利用遥控器变换多个聆听程序,以达到最舒适的听觉感受;可编程助听器采用广角麦克风和指向性麦克风助听器,可在日常生活中和嘈杂环境中运用不同的聆听模式,使听到的声音更为清晰;配带指向性助听器的人虽然目光未投向您,但是,他在专心收听您的讲话,故似乎有监听的特殊用途;据传,美国前总统克林顿就配戴这样的助听器;集成电路的问世又迅速地取代了“晶体管助听器”,集成电路ic于1964年问世,其体重小,低耗电,稳定性更高;随科学技术的飞速发展,助听器也逐步向智能化、体内化发展:1982年“驻极体麦克风”的问世实现助听器微型化,灵敏度及清晰度更是达到了新的水平;而1990年随着“电脑编程助听器”的问世,助听器增益初步智能化调整,又让助听器达到了另一新水平;1997年,“数字助听器”。
电子系统工程工作总结报告
电子系统工程工作总结报告电子系统工程是目前最为热门和发展前景广阔的行业之一,本次工作总结报告主要总结我在电子系统工程方面的工作情况、遇到的问题及解决方案以及工作中的心得和收获。
一、工作情况总结我在过去的一年中,主要负责了一个大型电子系统工程的设计和实施工作。
在项目启动阶段,我与团队一起进行了需求分析和方案设计,并根据项目计划制定了详细的工作计划。
在项目执行过程中,我负责了硬件开发、软件编程和系统集成等工作。
通过与团队成员密切合作,我按照工作计划逐步推进项目进展,最终按时完成了项目交付。
二、遇到的问题及解决方案在项目执行过程中,我遇到了一些技术问题和团队合作问题。
例如,硬件设计中遇到了某些电路不稳定的情况,我通过对硬件原理的深入研究和多次实验,最终找到了问题的根源并解决了它。
此外,在软件编程方面,我遇到了某些模块无法正常工作的情况,我通过阅读文档和在线资源,找到了相应的解决方案,并成功完成了软件编程工作。
在团队合作方面,我们遇到了沟通不畅和分工不明确等问题,我通过加强沟通和协调工作,成功解决了团队之间的问题。
三、心得和收获通过这一年的电子系统工程工作,我获得了很多宝贵的经验和技能。
首先,我学会了如何进行需求分析和方案设计,熟悉了整个电子系统工程的开发流程和方法。
其次,我掌握了电子硬件设计和软件编程的基本技能,对常用的工具和设备有了更深入的了解。
此外,我也学会了如何与团队成员进行有效的沟通和协调,培养了良好的团队合作意识和领导能力。
最后,我还意识到在工作中需要不断学习和提升自己的能力,不仅要关注技术发展,还要不断改进工作方法和提高综合素质。
总之,本次电子系统工程工作总结报告详细总结了我在过去一年中在电子系统工程方面的工作情况、遇到的问题及解决方案以及工作中的心得和收获。
通过这一年的工作,我不仅积累了宝贵的工作经验和技能,还提高了自己的综合素质和团队合作能力。
我相信,我在电子系统工程领域的工作经验将会对我的职业发展产生积极的影响。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程设计实践报告一、课程设计的性质、目的与作用本次电子系统设计实践课程参照全国大学生电子设计模式,要求学生综合利用所学的有关知识,在教师的指导下,分析和熟悉已给题目,然后设计系统方案、画原理图及PCB、软件编程,并做出课程设计报告。
因此,在设计中,要求学生应该全面考虑各个设计环节以及它们之间的相互联系,在设计思路上不框定和约束同学们的思维,同学们可以发挥自己的创造性,有所发挥,并力求设计方案凝练可行、思路独特、效果良好。
本课程设计的目的是为了让学生能够全面了解电子电路应用系统的整个设计过程,逐步掌握系统开发的以下相关技术:(1)熟悉系统设计概念;(2)利用所学数电、模拟电路知识,设计电路图;(3)利用PROTEL软件画原理图及PCB;(4)熟悉系统项目设计报告填写知识;(5)培养团队合作意识。
通过本课程设计,有助于学生更好地了解整个课程的知识体系,锻炼学生实际设计能力、分析和思考能力,使其理论与实践相结合,从而为后续课程的学习、毕业设计环节以及将来的实际工作打好坚实的基础。
二、课程设计的具体内容电子系统设计实践课程就是锻炼学生系统设计、分析和思考能力,全面运用课程所学知识,发挥自己的创造性,全面提高系统及电路设计、原理图及PCB 绘画等硬件水平和实际应用能力,从而体现出电子系统设计的真谛。
下面是各个设计阶段的具体内容。
1.系统方案认识根据所设定的题目,能够给出系统设计方案与思路题目:信号发生器产生电路,请设计一个能产生正弦波、方波及三角波电路,并制作原理图,然后阐述其原理。
基本原理:系统框图如图1所示。
AT89S52A/D 转换基准电压电源波形指示键盘电流/电压转换输出图1 低频信号发生器系统框图低频信号发生器系统主要由CPU 、D/A 转换电路、基准电压电路、电流/电压转换电路、按键和波形指示电路、电源等电路组成。
其工作原理为当分别按下四个按键中的任一个按键就会分别出现方波、锯齿波、三角波、正弦波,并且有四个发光二极管分别作为不同的波形指示灯。
2、各部分电路原理(1)DAC0832芯片原理①管脚功能介绍(如图5所示)图5 DAC0832管脚图1) DI 7~DI 0:8位的数据输入端,DI 7为最高位。
2) I OUT1:模拟电流输出端1,当DAC寄存器中数据全为1时,输出电流最大,当DAC寄存器中数据全为0时,输出电流为0。
3) I OUT2:模拟电流输出端2,I OUT2与I OUT1的和为一个常数,即I OUT1+I OUT2=常数。
4) R FB:反馈电阻引出端,DAC0832内部已经有反馈电阻,所以R FB端可以直接接到外部运算放大器的输出端,这样相当于将一个反馈电阻接在运算放大器的输出端和输入端之间。
5) V REF:参考电压输入端,此端可接一个正电压,也可接一个负电压,它决定0至255的数字量转化出来的模拟量电压值的幅度,V REF范围为(+10~-10)V。
V REF 端与D/A内部T形电阻网络相连。
6) Vcc:芯片供电电压,范围为(+5~ 15)V。
7) AGND:模拟量地,即模拟电路接地端。
8) DGND:数字量地。
当WR2和XFER同时有效时,8位DAC寄存器端为高电平“1”,此时DAC 寄存器的输出端Q跟随输入端D也就是输入寄存器Q端的电平变化;反之,当端为低电平“0”时,第一级8位输入寄存器Q端的状态则锁存到第二级8位DAC 寄存器中,以便第三级8位DAC转换器进行D/A转换。
一般情况下为了简化接口电路,可以把和直接接地,使第二级8位DAC寄存器的输入端到输出端直通,只有第一级8位输入寄存器置成可选通、可锁存的单缓冲输入方式。
特殊情况下可采用双缓冲输入方式,即把两个寄存器都分别接成受控方式制作低频信号发生器有许多方案:主要有单缓冲方式,双缓冲方式和直通方式。
单缓冲方式具有适用于只有一路模拟信号输出或几路模拟信号非同步输出的情形的优点,但是电路线路连接比较简单。
而双缓冲方式适用于在需要同时输出几路模拟信号的场合,每一路模拟量输出需一片DAC0832芯片,构成多个DAC0832同步输出电路,程序简单化,但是电路线路连接比较复杂。
根据以上分析,我们的课题选择了单缓冲方式使用方便,程序简单,易操作。
②工作原理DAC0832主要由8位输入寄存器、8位DAC 寄存器、8位D/A 转换器以及输入控制电路四部分组成。
8 位输入寄存器用于存放主机送来的数字量,使输入数字量得到缓冲和锁存,由加以控制;8位DAC 寄存器用于存放待转换的数字量,由加以控制;8位D/A 转换器输出与数字量成正比的模拟电流;由与门、非与门组成的输入控制电路来控制2个寄存器的选通或锁存状态。
原理框图如图6所示。
图6 DAC0832的原理框图DAC0832与反相比例放大器相连,实现电流到电压的转换,因此输出模拟信号的极性与参考电压的极性相反,数字量与模拟量的转换关系为Vout1=-Vref×(数字码/256)若D/A 转换器输出为双极性,如图4所示。
Vo ut2F E R 1T U O I 2T U O I R f b CC V 3-3 DAC0832???????图7 D/A 转换器双极性输出电路图7中,运算放大器A 2的作用是把运算放大器A 1的单向输出电压转换成双向输出电压。
其原理是将A 2的输入端Σ通过电阻R 1与参考电压V REF 相连,V REF 经R 1向A 2提供一个偏流I 1,其电流方向与I 2相反,因此运算放大器A 2的输入电流为I 1、I 2之代数和。
则D/A 转换器的总输出电压为:V OUT2= -[(R 3/R 2) V OUT1+(R 3/R 1)] V REF设R 1=R 3=2R R 2=R ,则V OUT2= -(2V OUT1+V REF )DAC0832主要是用于波形的数据的传送,是本题目电路中的主要芯片。
③DAC0832电路原理图(如图8所示)S2S3S4R11K R21K R31K R41K R51K R61K R71K R81KVC CP10P11P12P13P14P15P16P17112233445566778899101011111212131314141515161617171818191920202121222223232424252526262727282829293030313132323333343435353636373738383939404041414242434344444545464647474848494950505151525253535454555556565757585859596060U1P ORTA1122334455667788991010111112121313141415151616171718181919202021212222232324242525262627272828292930303131323233333434353536363737383839394040414142424343444445454646474748484949505051515252535354545555565657575858595960606161626263636464656566666767686869697070U2P ORTBVC C D0D1D2D3D4D5D6D7A8A9A10CS0CS1CS2CS3CS4CS5CS6CS7WR P10P11P12P13P14P15P16P17+12V AG NDCS 1WR 12AG ND 3D134D125D116D107Vfer 8Rfb 9GN D10Iou t111Iou t212D1713D1614D1515D1416Xfer 17WR 218ILE 19VC C 20U3DAC0832VC CAG NDD0D1D2D3D4D5D6D7CS2CS2WRWR RW 1kC20.2u +123411-U4ALM324C10.47uVC CR910K+567-U4BLM324R1020KR1120K AG NDAG ND+12V-12VLiLEDVC CRi 680RUo12345678U51403L1L2L3L4Ci10.1uCi20.1uCi30.1uVC C Ci422uCi510u Ci610u+12V-12VAG NDAG NDAG NDAG ND(2)LM324工作原理(管脚功能如图9所示)图9 LM324管脚图LM324时四运放集成电路 ,它采用14脚双烈直插塑料封袋,外形如图1所示。
他的内部包含四组形式完全相同的运算放大器,除电源共用外,四组运放相互独立。
每一组运算放大器可用图中所示的符号来表示,它有5个引出脚,其中“+”、“-”为两个信号输入端,“V+”、“V -”为正、负电源端,“OUT”为输出端。
两个信号输入端中,“-”为反相输入端,表示运放输出端OUT的信号与该输入端的为相反;“+”为同相输入端,表示运放输出端OUT的信号与输入端的相位相同。
LM324的引脚排列见图9。
由于LM324四运放电路具有电源电压范围宽,静态功耗小,可但电源使用,价格低廉等优点,因此被广泛应用在各种电路中。
在此项目中用了LM324的三组运放,分别置于第一级输出,第一、二级之间,第二级输出。
(3)MC1403工作原理(管脚功能如图10所示)图10 MC1403管脚图MC1403是低压基准芯片。
一般用作8到12bit的D/A芯片的基准电压等一些需要基本精准的基准电压的场合。
输出电压:2.5V+/-25Mv输入电压范围:4.5Vto40V输出电流:10Ma因为输出是固定的,所以电路很简单。
就是Vin接电源输入,GND接地,Vout加一个0.1uf到1uf的电容就可以了。
Vout一般用于8到12bit的D/A芯片的基准电压。
在此项目里MC1403起到了稳压的作用,它基准了DAC0832的8脚需要的2.5V。
使其DAC0832能够正常工作。
3.软件编程或软件仿真软件设计上,根据功能分了几个模块编程。
模块主要有:主程序模块、锯齿波模块、三角波模块、正弦波模块、方波模块、延时子程序模块等。
显示波形模块是利用DAC0832的8位特点,把波形的数据以8位数据的形势送进CPU中,只要一按键就能显示波形。
(1)主程序流程图本软件设计过程中主要实现利用按键来控制不同波形的输出,当按键1按下时,函数发生器就输出锯齿波;当按键2按下时,函数发生器就输出三角波;当按键3按下时,函数发生器就输出正弦波;当按键4按下时,函数发生器就输出方波。
通过按键可以以任意循环方式输出不同波形。
(2)锯齿波程序流程图锯齿波产生首先将DAC0832口地址至为4000H,然后将00H送入寄存器A中,DAC0832输出A中的内容,当A中的内容等于F0H返回开始,当A中的内容不为0FH时,A中的内容累加,从而输出波形。