数码管电路的制作与驱动
数码管驱动电路的作用,数码管驱动电路设计原理图
数码管驱动电路的作用,数码管驱动电路设计原理图 数码管驱动电路的作用: 数码管驱动电路的作用主要是通过利用单片机控制LED数码管(发光二极管)电路,以实现数码管LED屏幕数字输出的动态显示效果。
数码管的分类: (1)按照数码管段数分类 数码管是一种半导体发光器件,其基本单元是发光二极管。
它按段数分为七段数码管和八段数码管,八段数码管比七段数码管多一个发光二极管单元(也就是多一个小数点显示); (2)按照数码管数字显示分类 按能显示多少个8可分为1位、2位、4位等等数码管; (3)按照数码管连接方式分类 按发光二极管单元连接方式分为共阳极数码管和共阴极数码管。
共阳数码管与共阴数码管: 其中,共阳数码管是指将所有发光二极管的阳极接到一起形成公共阳极(COM)的数码管。
共阳数码管在应用时应将公共极COM接到+5V,当某一字段发光二极管的阴极为低电平时,相应字段就点亮。
当某一字段的阴极为高电平时,相应字段就不亮。
而共阴数码管是指将所有发光二极管的阴极接到一起形成公共阴极(COM)的数码管。
共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平时,相应字段就点亮。
当某一字段的阳极为低电平时,相应字段就不亮。
共阳数码管驱动电路示例: 并行LED数码管静态显示电路(共阳) 共阴数码管驱动电路示例: 串行LED数码管动态扫描显示电路(共阴) 更多共阳数码管与共阴数码管驱动电路,请点击如下链接浏览: elecfans/dianyuan/430166.html 下面提供一种数码管驱动电路设计,可以实现led数码管数字0~9控制输出。
工作原理: 如图1所示,电路由与非门74LS00、数码管驱动芯片74LS247组成。
10个按键组成输入电路,经过与非门电路编码后,输入数码管驱动芯片,驱动数码管显示相应的按键号。
设计按键编码电路时,先写出真值表,由真值表可写出下式: A={I1 -I3 -I5 -I7 - I9 }(大括号中,每个因子取反,一起共同取反) = I1+I3 +I5 +I7 +I9 B={I3 -I4 -I6 - I7}(大括号中,每个因子取反,然后一起共同取反) = I3+I4+I6+I7 C={I4 -I5 -I6 - I7}(大括号中,每个因子取反,然后一起共同取反) = I4 +I5 +I6+I7 D={I8 - I9 }(大括号中,每个因子取反,然后一起共同取反) = I8+I9 为了使电源电压不超过数码管承受电压范围,电源串联4个二极管后,加到数码管上,这样做,可以节省元件。
七段数码管驱动电路设计
七段数码管驱动电路设计说起这七段数码管驱动电路设计,咱们得先来聊聊它是个啥宝贝。
想象一下,那些电子钟、计算器上闪烁的数字,还有咱们游戏机上计分用的那些酷炫数字,它们背后可都离不开这七段数码管的默默付出。
今儿个,咱们就来手把手,用大白话聊聊怎么给这七段数码管搭个温馨的小窝,让它能在咱的电路世界里大放异彩。
一、初探七段数码管首先,咱们得认识这位主角——七段数码管。
它呀,就像是个简约版的霓虹灯,由七条线段(a到g)和一个小数点组成,通过不同的组合,能显示出0到9这十个数字,外加一些简单的字符。
想象一下,这七条线段就像是小朋友手里的画笔,一笔一划地勾勒出数字的模样,多有趣!1.1 挑选合适的数码管挑数码管,得看看它是共阳极的还是共阴极的。
这就像选房子,有的房子阳台朝南采光好(共阳极),有的则朝北凉爽些(共阴极)。
选对了,后续设计才省心。
1.2 理解工作原理数码管工作的秘密在于电流。
咱们通过控制哪些线段通电,哪些不通电,来“画”出不同的数字。
这就像是在玩灯光秀,开灯关灯之间,数字就活灵活现地出现了。
二、设计驱动电路接下来,就是给数码管找个好搭档——驱动电路。
这就像是给数码管找了个司机,告诉它啥时候该亮,啥时候该暗。
2.1 选择驱动芯片市面上有好多驱动芯片,比如74HC595、TM1637等,它们就像是不同类型的汽车,有的省油(功耗低),有的跑得快(驱动能力强)。
咱们得根据实际需求,挑个最合适的。
2.2 搭建电路框架搭电路就像搭积木,把电源、驱动芯片、数码管还有必要的电阻电容按规矩摆好。
电源是心脏,驱动芯片是大脑,数码管是显示屏,电阻电容则是调节器,保证电路稳定运行。
2.3 编程控制电路搭好了,还得给它编个程序,告诉它怎么工作。
这就像是在教小朋友跳舞,一步步指导它怎么迈步、转身。
编程时,咱们得设定好每个数字对应的线段组合,让数码管能按咱们的意愿显示。
三、调试与优化电路搭完,程序编好,接下来就是见证奇迹的时刻了。
数码管驱动电路实训报告
一、实训目的1. 理解数码管的基本工作原理和驱动方式。
2. 掌握数码管驱动电路的设计方法。
3. 提高动手能力和实际操作技能。
二、实训内容1. 数码管的结构及工作原理2. 数码管驱动电路的设计3. 数码管驱动电路的搭建与调试三、实训过程1. 数码管的结构及工作原理数码管是一种常用的显示器件,主要由七个笔画组成,分别为a、b、c、d、e、f、g,以及一个小数点dp。
数码管根据内部连接方式的不同,可分为共阴型和共阳型两种。
(1)共阴数码管:当对应笔画所在的阴极接地时,笔画会点亮;当阴极接高电平时,笔画熄灭。
(2)共阳数码管:当对应笔画所在的阳极接地时,笔画会点亮;当阳极接高电平时,笔画熄灭。
2. 数码管驱动电路的设计(1)共阴数码管驱动电路:以74HC595为例,介绍共阴数码管驱动电路的设计。
① 电路原理:74HC595是一款8位串行输入、并行输出的移位寄存器,其内部包含一个8位的移位寄存器和3个8位的锁存器。
通过将数据从串行输入端输入,经过移位寄存器传递,最后由并行输出端输出。
② 电路设计:将数码管的阴极与地相连,阳极分别与74HC595的8个并行输出端相连。
同时,将74HC595的串行输入端、时钟端、复位端与单片机相应端口相连。
(2)共阳数码管驱动电路:以CD4017为例,介绍共阳数码管驱动电路的设计。
① 电路原理:CD4017是一款10进制计数/分配器,具有10个输出端,可依次输出高电平。
当计数到10时,输出端重新从0开始计数。
② 电路设计:将数码管的阳极与地相连,阴极分别与CD4017的10个输出端相连。
同时,将CD4017的时钟端、复位端与单片机相应端口相连。
3. 数码管驱动电路的搭建与调试(1)搭建电路:按照设计好的电路图,将元器件连接到实验板上。
(2)调试电路:通过单片机编写程序,控制数码管显示相应的数字。
调试过程中,注意观察数码管显示效果,确保电路正常工作。
四、实训结果与分析1. 实训结果通过本次实训,成功搭建了共阴数码管和共阳数码管驱动电路,并实现了数字显示功能。
6脚数码管驱动原理
6脚数码管驱动原理脚数码管是一种常见的数字显示器件,它的形状像字母“H”,由七个发光二极管(LED)构成,可以显示数字、字母以及一些符号。
常见的有4位数码管和6位数码管,下面主要介绍6位数码管的驱动原理。
1. 数码管的基本结构与工作原理数码管的每个发光二极管(LED)有一个引脚与数字电路相连,每个引脚都有一个单独的输入信号。
当有信号输入时,相应的LED会发出光亮,从而显示数字或其他符号。
而6位数码管由共阴极或共阳极两种结构,分别是:共阴极结构:数码管的每个LED的阴极连接在一起,称为共阴极。
当在共阴极接口输入高电平时,LED矩阵内的二极管被导通,显示数字或符号。
因此,当接口输入低电平时,该LED被关闭。
2. 驱动器要驱动数码管,需要一个驱动器来控制输入信号。
驱动器的作用是将输入的逻辑信号转换为适合数码管的电流信号来控制LED的发光。
驱动器的设计应根据数码管的类型和需求来合理选择。
下面介绍两种常用的驱动器:BDCD4511数码管驱动芯片:它是一种4位BCD驱动器,可以驱动4位7段数码LED。
在使用该器件时,需要将四位BCD码分别输入到BCD输入端(A,B,C和D),并将BCD使能端(LE)拉高。
74HC595芯片驱动:它是常用的串转并芯片,可以控制多个输出器件。
用74HC595芯片驱动数码管时,将输入的序列信号转换为并行输出信号,并将输出信号输入到数码管的引脚。
3. 数码管的控制通过逻辑电路可以控制数码管的灯光转换。
以下是数码管的控制方式:编码器:编码器通常用于数码管中的数字输入。
它将输入的数字编码转换为二进制代码,然后将其送入数码管驱动器中以控制其输出到LED的引脚。
时序控制器:在6位数码管中,如果需要显示不同的数字,需要通过时序控制器来控制信号的输入。
时序控制器的作用是控制输入信号的顺序和时间,来实现不同数字的显示。
数码管驱动电路的设计与制作实训目的
数码管驱动电路的设计与制作实训目的数码管是一种常见的电子显示器件,广泛应用于各类电子设备中。
在本次实训中,我们将学习如何设计和制作一个数码管驱动电路,以掌握其工作原理和实际应用。
一、数码管基本原理数码管是一种由多个发光二极管组成的显示器件,可以显示数字、字母和符号等信息。
常见的数码管有共阳极和共阴极两种类型。
共阳极数码管的阳极连接在一起,共阴极数码管的阴极连接在一起。
数码管的显示原理是利用发光二极管的发光特性,通过控制阳极或阴极的通断来实现数字的显示。
例如,当需要显示数字“1”时,需要使数码管第二个发光二极管发光,此时共阳极数码管的第二个阳极接通,共阴极数码管的第二个阴极断开。
二、数码管驱动电路设计为了控制数码管的显示,需要设计一个驱动电路。
常用的数码管驱动电路有直接驱动电路和多路复用驱动电路两种。
1. 直接驱动电路直接驱动电路是将每个数码管的阳极或阴极分别接到芯片的GPIO 引脚上,通过控制引脚的高低电平来实现数码管的显示。
这种驱动电路简单易懂,但是需要占用较多的GPIO引脚,不适用于控制多个数码管的情况。
2. 多路复用驱动电路多路复用驱动电路是将多个数码管的阳极或阴极连接在一起,通过控制芯片的GPIO引脚和数码管的选择信号,来实现数码管的显示。
这种驱动电路可以控制多个数码管,但是需要进行复杂的信号处理,增加了设计难度。
三、数码管驱动电路制作在制作数码管驱动电路之前,需要准备好以下材料和工具:1. 数码管 x n个2. 74HC595芯片 x 1个3. 电阻220Ω x n个4. 电容0.1μF x 1个5. 面包板 x 1个6. 杜邦线若干7. 电路图设计软件制作步骤如下:1. 根据电路图设计软件,设计并绘制出数码管驱动电路的电路图。
2. 在面包板上按照电路图进行连线,连接数码管、74HC595芯片、电阻、电容等元件。
3. 将面包板连接到开发板上,通过程序控制GPIO引脚的高低电平,实现数码管的显示。
组合电路——7段数码管显示驱动电路设计报告
实验一、组合电路——7段数码管显示驱动电路设计一、实验目的了解EDA实验箱7位八段数码管显示模块的工作原理,设计标准扫描驱动电路模块,以备后面实验用。
二、硬件要求主芯片为Cyclone V E,型号为EP4CE22F17C8,7位八段数码管显示器,四位拨码开关。
三、实验内容用四位拨码开关产生8421BCD码,用CPLD分别产生7段数码管扫描驱动电路,然后进行仿真,观察波形,正确后编程下载实验测试。
四、实验原理1、72、动信号a,b,c,d,e,f,g。
通过调节四位拨码开关的状态,数码管应显示与之对应的字符。
五、实验连线输入:将芯片管角a0~a3分别接4个拨码开关;输出:将芯片管角led7s0~7分别接到数码管7段驱动信号a、b、c、d、e、f、g上。
六、实验源程序:decl7s.vhdlibrary ieee;use ieee.std_logic_1164.all;entity decl7s isport(a:in std_logic_vector(3 downto 0);led7s:out std_logic_vector(6 downto 0));end;architecture one of decl7s isbeginprocess(a)begincase a iswhen "0000" => led7s<="0111111"; when "0001" => led7s<="0000110"; when "0010" => led7s<="1011011"; when "0011" => led7s<="1001111"; when "0100" => led7s<="1100110"; when "0101" => led7s<="1101101"; when "0110" => led7s<="1111101"; when "0111" => led7s<="0000111"; when "1000" => led7s<="1111111"; when "1001" => led7s<="1101111"; when "1010" => led7s<="1110111"; when "1011" => led7s<="1111100"; when "1100" => led7s<="0111001"; when "1101" => led7s<="1011110"; when "1110" => led7s<="1111001"; when "1111" => led7s<="1110001"; when others => null;end case;end process;end;七、波形仿真结果。
单片机温度报警器数码管驱动电路的设计与制作实训报告
单片机温度报警器数码管驱动电路的设计与制作实训报告单片机温度报警器数码管驱动电路的设计与制作实训报告一、引言单片机温度报警器是一种用于监测环境温度并在温度超过设定阈值时发出警报的设备。
本实训报告旨在介绍单片机温度报警器数码管驱动电路的设计与制作过程。
二、设计原理1. 温度传感器为了能够准确测量环境温度,我们选择了一款数字式温度传感器DS18B20。
该传感器具有高精度、低功耗和数字输出等特点,适合用于单片机应用。
2. 单片机选择本实训采用STC89C52RC作为控制核心。
该单片机具有丰富的外设资源和强大的计算能力,适合用于本项目。
3. 数码管显示为了方便用户查看当前环境温度,我们采用4位共阳极数码管进行显示。
通过控制数码管的开关状态和亮灭时间来显示不同的数字。
4. 报警功能当环境温度超过设定阈值时,需要触发报警功能。
我们使用蜂鸣器作为报警装置,通过控制其开关状态和频率来发出不同的报警声音。
三、电路设计1. 温度传感器接口电路将DS18B20的VCC引脚连接到单片机的5V电源,GND引脚连接到单片机的GND,DQ引脚连接到单片机的一个IO口。
2. 数码管驱动电路将4位共阳极数码管的公共端依次连接到单片机的P0.0、P0.1、P0.2和P0.3口。
将数码管的a~g引脚分别连接到单片机的P2.0~P2.7口。
3. 报警装置电路将蜂鸣器连接到单片机的一个IO口,并通过一个三极管进行驱动。
将三极管的基极接入单片机IO口,发射极接地,集电极与蜂鸣器正极相连。
四、软件设计1. 温度采集与显示通过单片机读取DS18B20传感器输出的温度值,并将其转换为数码管可以显示的格式。
然后通过数码管驱动程序控制数码管显示当前温度值。
2. 温度比较与报警设置一个阈值温度,当当前温度超过该阈值时触发报警功能。
通过比较当前温度值和阈值来判断是否需要发出报警信号。
3. 报警控制当温度超过阈值时,通过单片机控制蜂鸣器的开关状态和频率来发出报警声音。
共阳数码管显示驱动电路1 (自动保存的)
共阳数码管显示驱动电路
专业名称:电气自动化
班级:电气131
姓名:刘群
辅导老师:舒为清
数码显示电路的制作
(一)、项目名称:共阳数码管显示驱动的制作
(二)、产品电路原理图:
(三)、产品功能介绍:
本电路可用于实现四人抢答器的数码显示。
以A,B,C,D,分别表示四路抢答输入信号,当有一个按钮被按下时,即输入一个低电平,经过编码,显示译码器并最终在数码管上显示对应的数字号,(1-4)。
(四)、原件清单:
(五)、制作过程:
1,先把元件合理的摆放在电路板上。
2,合理的布线使之电路板上没有什么导线让电路板更加美观。
3,在用电烙铁时要小心的焊接,按心中所想的去焊接。
4,最后检查电路是否焊接有错。
共阳数码管显示驱动电路仿真(六)共阳数码管显示仿真电路图
推S1
推S2 (七)产品实物图:
正面图
数字1
数字7
反面图
(七)、总结:
在这次电路板的焊接中可以说是很成功的一次实验的,但是在检测中数码管灯不亮,一开始我以为是电路板焊接的
问题就检查电路是否有问题,可是检查了几遍发现没有问题。
并问同学,同学们也不亮经后讨论发现是数码管的问题,数码管是共阴的,换数码管后数码管也不亮,又发现芯片也有问题,最后把数码管和芯片都换后就有用了,在这次实验中我学到了很多让我学会了,在有困难时要发现困难并解决困难。
只有勇敢的面对困难我们才能打败困难。
数码管驱动原理
数码管驱动原理
数码管驱动是指通过控制数码管的各个灯段的开关状态来显示数字、字母或符号的一种电路原理。
它可以将数字或字符以可视化的形式显示出来,广泛应用于计数器、时钟、仪表等设备中。
数码管通常由七段或八段LED(发光二极管)组成,其中每
个段代表数码管的一部分,可以显示数字0-9、字母A-F等字符。
每个数码管的显示原理是根据段选(Segment Selection)
和位选(Digit Selection)来实现的。
段选是通过控制数码管的各个灯段的开关状态来显示所需的数字或字符。
每个灯段对应一个控制信号,当控制信号开启时,该段会显示点亮,反之则灭掉。
例如,当需要显示数字1时,我们需要点亮数码管的第二段和第三段,其他段保持灭的状态。
位选是通过控制数码管的位线来选择需要显示的数码管。
位线控制是将需要显示的数码管的位线设置为高电平,其他数码管的位线设置为低电平。
通过不断地切换位线的状态,可以实现多个数码管之间的显示切换。
例如,我们可以先显示第一个数码管的数字,然后切换到第二个数码管显示数字,以此类推。
数码管驱动的核心是通过控制电平的高低来实现段选和位选。
为了简化电路,常常采用集成数码管驱动芯片,例如常用的
74HC595芯片。
该芯片可以通过串行输入控制多个数码管,
具有较高的集成度和灵活性。
通过合适的电路设计和编程控制,我们可以实现数码管的各种显示效果,例如数字的逐个显示、循环显示、计数显示等。
数码管驱动原理的掌握对于电子设计和嵌入式系统开发具有重要意义,它为我们创造出更多的应用和功能提供了便利。
单片机驱动LED数码管电路及编程
单片机驱动LED数码管电路及编程单片机I/O的应用最典型的是通过I/O口与7段LED数码管构成显示电路,我们从常用的LED显示原理开始,详尽讲解利用单片机驱动LED数码管的电路及编程原理,目的在于通过这一编程范例,让初学者了解I/O口的编程原理,意在起举一反三,抛砖引玉的作用。
左图为实验电路图,我们使用80C51单片机,电容C1、C2和CRY1组成时钟振荡电路,这部分基本无需调试,只要元件可靠即会正常起振。
C3和R1为单片机的复位电路,80C51的并行口P1.0-P1.7直接与LED数码管的a-f引脚相连,中间接上限流电阻R3-R10。
值得一提的是,80C51并行口的输出驱动电流并非很大,为使LED有足够的亮度,LED数码管应选用高亮度的器件。
此外,图中的80C51还可选用C51系列的其它单片机,只要它们的指令系统兼容C51即可正常运行,程序可直接移植,例如选用低价Flash型的AT89C1051或2051(详细技术手册)等,它们的ROM可反复擦写,非常适合作实验用途。
程序清单:01 START: ORG 0100H ;程序起始地址02 MAIN: MOV R0,#00H ;从“0”开始显示03 MOV DPTR,#TABLE ;表格地址送数据指针04 DISP: MOV A,R0 ;送显示05 MOVC A,@A+ADPTR ;指向表格地址06 MOV P1,A ;数据送LED07 ACALL DELAY ;延时08 INC R0 ;指向下一个字符09 CJNE R0,#0AH,DISP ;未显示完,继续10 AJMP MAIN ;下一个循环11 DELAY: MOV R1,#0FFH ;延时子程序,延时时间赋值12 LOOP0: MOV R2,#0FFH13 LOOP1: DJNZ R2,LOOP114 DJNZ R1,LOOP015 RET ;子程序返回16 TABLE: DB 0C0H ;字型码表17 DB 0F9H18 DB 0A4H19 DB 0B0H20 DB 99H21 DB 92H22 DB 82H23 DB 0F8H24 DB 80H25 DB 90H26 END ;程序结束。
数码管驱动电路的设计与制作实训报告
数码管驱动电路的设计与制作实训报告一、引言数码管广泛应用于显示和计数器等电子设备中,其驱动电路的设计和制作对于数字显示的稳定性和可靠性至关重要。
本实训旨在通过设计和制作数码管驱动电路,掌握相关电路设计技巧和实践能力。
二、实训目标理解数码管的基本原理和工作方式。
学习数码管驱动电路的设计要点和指标。
掌握数码管驱动电路的电路图设计和焊接制作技巧。
验证和调试数码管驱动电路的功能和性能。
三、实训过程理论学习:对数码管的工作原理、常见接口和驱动电路进行学习。
设计电路图:根据需求和规格要求,设计数码管驱动电路的电路图。
元件采购和准备:选择合适的元件并进行采购,准备焊接所需材料和工具。
电路焊接:按照设计电路图进行焊接制作,确保焊接质量和连接正确性。
电路调试:将电路连接供电并逐步调试,确保数码管正常显示和驱动电路稳定运行。
功能测试:测试电路的各项功能,包括正常计数、显示切换等。
总结与反思:总结实训过程中的经验和教训,思考改进的方法和方向。
四、实训结果成功设计和制作了数码管驱动电路,完成了所需的功能要求。
数码管显示稳定、清晰,驱动电路响应灵敏,工作可靠。
实训过程中遇到的问题及解决方案:(列举具体问题和解决方案)五、体会与收获通过本实训的设计和制作过程,我对数码管驱动电路有了更深入的了解,掌握了一些电路设计和焊接制作的技巧。
同时,我也对实际电路的调试和问题解决有了更多的经验和思考。
这次实训让我更加熟悉了数字电路的原理和实践操作,提高了我的动手能力和问题解决能力。
六、结论本次实训通过设计和制作数码管驱动电路,增强了我在数字电路方面的理论实践能力。
我深刻理解了数码管的原理和驱动电路的设计要点,并通过实际操作掌握了相关技能。
这将对我今后的电子设计和工程实践有着积极的影响。
七、感谢辅导老师和实训指导人员的悉心指导和帮助,为实训过程提供了重要的支持和指导。
数码管驱动与程序设计
K8在第1个数码管上显示正确的数字;然后将K9拨到控制com2,……依①此第类1推个,数实码现管在显4示个1数;码
管上分别显示1234。
②第2个数码管显示2;
③第3个数码管显示3;
④第4个数码管显示4。
好像有点投机取 巧,对实际有什
LN3461AS四位动态共阴数码管内部结构 4位数码管管脚排列图
9
COM2
COM3
COM4
6
8
采用段选端复用的方法,仅需12个
管脚。
两者外型尺寸大小、管脚的排列顺
段 选 端 复 用 序和名称完全一样。
LN3461BS四位动态共阳数码管内部结构
第6页,本讲稿共21页
2
4
7
11
目录
LED数码管 数码管驱动电路 段码表的生成 数码管的动态扫描显示
4位数码管管脚排列图目录led数码管数码管驱动电路段码表的生成数码管的动态扫描显示数字符号与数值的关系tinyview数码管电路组成4位共阳数码管ln3461bspnp型三极管单片机io口驱动能力不够增加三极管以提高com口的电流驱动能力tinyview数码管电路限流电阻与单片机相连目录led数码管数码管驱动电路段码表的生成数码管的动态扫描显示数字符号与数值的关系笔段与数值的对应关系5vhgfedcba共阳极数码管1111111110011111111111101111110111101111110111111011111101111111数码管有8个笔段每个笔段有亮和灭两种状态则每个数码管有28256种显示组合去掉点h其余7个笔段有27128种显示组合
在数字字符和 .DB 0xC0, 0xF9, 0xA4, 0xB0, 0x99
三极管驱动共阴数码管
三极管驱动共阴数码管三极管驱动共阴数码管是一种常见的电子电路设计,适用于显示数字的应用场景。
在这篇文章中,我将详细介绍三极管驱动共阴数码管的原理、电路设计和使用注意事项。
三极管是一种重要的电子器件,由发射极、基极和集电极组成。
它可以根据基极电流的大小来控制集电极电流的变化。
三极管有不同的工作模式,包括共发射、共基和共集模式。
在三极管驱动共阴数码管电路中,我们通常使用共集模式。
共阴数码管是一种常用的数字显示设备,它由多个发光二极管组成,可以显示数字0-9。
共阴数码管的最大特点是共用一个阴极,各个发光二极管的阳极分别相连。
通过控制各个发光二极管的阴极电流大小,可以实现数字的显示。
三极管驱动共阴数码管的原理很简单,通过三极管的共集模式,可以实现对各个发光二极管的阴极电流的控制。
当某个三极管的基极接通时,该三极管的集电极与该发光二极管的阴极相连,电流可以通过三极管流入阴极,使该发光二极管点亮。
当某个三极管的基极断开时,该三极管的集电极与该发光二极管的阴极断开,电流无法流入阴极,该发光二极管熄灭。
为了驱动多个共阴数码管,我们可以设计一个简单的电路。
首先,我们需要一个数字信号源,用来控制哪个数码管点亮。
我们可以使用微控制器或数字逻辑电路来生成数字信号。
其次,我们需要使用三极管来驱动各个数码管的阴极。
对于每个数码管,我们使用一个三极管来控制它的阴极电流。
最后,我们需要一个外部电源来为三极管和数码管提供电源。
当数字信号源生成一个高电平信号时,对应的三极管的基极接通,该数码管点亮;当数字信号源生成一个低电平信号时,对应的三极管的基极断开,该数码管熄灭。
通过改变数字信号源的高低电平,我们可以控制哪些数码管点亮,实现数字的显示。
但是,在实际应用中,我们需要注意以下几点。
首先,三极管的工作电流需要控制在适当的范围,过大的电流可能导致三极管损坏。
其次,数码管的电流限制器(电流限制电阻)需要根据数码管的工作电流来选取,以避免过大的电流流过数码管导致烧毁。
单片机驱动数码管电路
单片机驱动数码管电路数码管是一种常见的电子显示器件,它可以显示数字、字母和其他特殊字符。
而单片机作为一种集成电路,能够通过编程来控制外部设备的工作,因此可以很方便地用来驱动数码管。
本文将介绍单片机驱动数码管电路的原理和实现方法。
一、数码管的工作原理数码管由若干个发光二极管(LED)组成,每个发光二极管都有两个引脚:一个是正极,用于接收电流;另一个是负极,用于接收控制信号。
数码管通常分为共阳极和共阴极两种类型。
共阳极数码管的正极连接在Vcc(正电源)上,负极通过控制信号接地。
当控制信号接地时,相应的发光二极管会亮起;当控制信号断开连接时,相应的发光二极管会熄灭。
通过控制不同的发光二极管,可以显示不同的数字或字符。
共阴极数码管与共阳极数码管相反,正极通过控制信号接地,负极连接在Vcc上。
二、单片机驱动数码管的原理单片机可以通过IO口输出高低电平来控制数码管的工作。
以共阳极数码管为例,当IO口输出高电平时,相应的数码管发光二极管亮起;当IO口输出低电平时,相应的数码管发光二极管熄灭。
为了实现多位数码管的显示,通常需要使用译码器。
译码器可以将单片机输出的数字信号转换为对应的控制信号,从而实现对数码管的驱动。
常用的译码器有BCD译码器和数码管驱动IC等。
三、单片机驱动数码管的实现方法1. 硬件连接将单片机的IO口与数码管的控制引脚连接。
通过接线将单片机的IO口与译码器的输入引脚相连,然后将译码器的输出引脚与数码管的控制引脚相连。
同时,将数码管的电源引脚与电源连接,确保正极连接在Vcc上,负极连接在GND上。
2. 编程控制在编程时,首先需要定义数码管显示的内容。
可以使用数组或变量来存储需要显示的数字或字符。
然后,将需要显示的内容转换为对应的译码器输入信号,通过单片机的IO口输出给译码器。
最后,通过循环控制,不断更新数码管的显示内容,实现动态显示效果。
四、总结通过单片机驱动数码管电路,可以实现对数码管的灵活控制。
三极管驱动共阴数码管
三极管驱动共阴数码管【专业版】三极管驱动共阴数码管1. 前言近年来,数码管技术得到了广泛应用,其简单、直观的数字显示方式受到了众多电子爱好者的喜爱。
而在涉及数码管的电子电路设计中,三极管的驱动起到了至关重要的作用。
本文将深入探讨三极管驱动共阴数码管的原理与方法,以及如何优化驱动电路的性能与稳定性。
2. 三极管和共阴数码管的基本工作原理在了解如何驱动共阴数码管之前,我们先来简要介绍一下三极管和共阴数码管的基本工作原理。
2.1 三极管三极管是一种常见的半导体器件,由发射极、基极和集电极组成。
其工作原理是通过控制基极电流,来调节集电极电流的大小。
具体而言,当基极电流为零时,三极管处于截止状态,集电极电流几乎为零。
而当基极电流适当增大时,三极管将进入饱和区,此时集电极电流达到最大值。
2.2 共阴数码管共阴数码管是一种常用的显示装置,它由多个发光二极管(LED)组成,每个发光二极管称为一个段。
共阴数码管的特点是所有的数码管段的阳极(Anode)都连接在一起,而且是通过低电平(通常为地)来点亮。
当某一段的阴极与共阴极加正电平时,该段将被点亮。
3. 三极管驱动共阴数码管的电路设计与优化在实际的电子电路设计中,为了驱动共阴数码管的每一段,我们通常会使用一个三极管作为开关元件。
以下是一个基本的三极管驱动共阴数码管电路示意图。
3.1 电路设计电路由一个微控制器(MCU)产生数字信号驱动一个三极管。
MCU通过控制三极管的驱动信号,来点亮或关闭共阴数码管的每一段。
其基本原理是:当MCU输出高电平时,三极管处于饱和状态,共阴数码管段点亮;当MCU输出低电平时,三极管处于截止状态,共阴数码管段关闭。
3.2 优化驱动电路性能为了提高三极管驱动共阴数码管的性能与稳定性,我们可以进行以下优化:3.2.1 增加驱动电流一般情况下,为了确保共阴数码管段能够充分亮起,我们需要提供足够的驱动电流。
可以通过增加电流放大倍数或增加集电极电流的方式来实现。
数码管驱动电路
设计实例12:
数码管驱动电路
一、设计目的
通过汽车尾灯电路设计,使学生掌握组合逻辑电路的工作原理、电路构成和设计方法,训练学生的动手能力,培养独立解决问题的能力,为今后电路设计和电类后续课程的学习奠定基础。
二、设计内容
设计一数码管驱动电路,实现如下功能:10个输入端。
当按下控制按键0后,数码管显示0,按下1时,数码管显示1……每次按键的键号与数码管的显示相同,没有按键时显示0。
三、工作原理
如图1所示,电路由与非门74LS00、数码管驱动芯片74LS247组成。
10个按键组成输入电路,经过与非门电路编码后,输入数码管驱动芯片,驱动数码管显示相应的按键号。
图1 电路原理图
设计按键编码电路时,先写出真值表,由真值表可写出下式:
为了使电源电压不超过数码管承受电压范围,电源串联4个二极管后,加到数码管上,这样做,可以节省元件。
四、元件清单
五、实物图
按照原理图和元件清单,在电路板上焊接好元件后,实物图如图2所示。
图2 实物样板
调试的时候,先调试数码管显示电路,观察显示数字是否正常,再调试按键输入电路。
两位共阳led数码管驱动电路
两位共阳LED数码管驱动电路一、引言数码管是一种常见的显示器件,用于显示数字或字母等字符。
在很多电子应用中,我们需要使用驱动电路来控制数码管的显示。
本文将介绍一种常用的驱动电路——两位共阳LED数码管驱动电路。
二、电路原理2.1 共阳数码管共阳数码管是一种常见的数码管类型,其结构与工作原理如下:•共阳数码管内部有多个发光二极管(LED)组成,每个LED分别代表一个数字或字母。
•共阳数码管的所有LED的阳极(Anode)都连接在一起,作为公共端口。
•每个LED的阴极(Cathode)通过晶体管或开关来控制。
2.2 两位共阳LED数码管驱动电路的原理图两位共阳LED数码管驱动电路的原理图如下所示:+---------------------++---[R1]-----| A || | || | || | +---------+ |+-------------------| B | 共阳数码管 | |电路输入 | +---------+ || | +---------+ || | C | 共阳数码管 | || | +---------+ || | +---+---+---+ |+-------------------| D | 4 | 2 | 1 | |数字输入 | +---+---+---+ || +---------------------+|-------共阳数码管2.3 电路工作原理该驱动电路由4个输入管脚和2个共阳数码管组成。
每个共阳数码管有4个LED (A、B、C、D),用于显示数字0-9。
工作原理如下:1.通过控制输入管脚的电平,决定要显示的数字。
2.比如要显示数字5,将输入管脚设置为向上的电平(高电平),则共阳数码管的A、C、D对应的LED点亮,显示数字5。
3.另外一个共阳数码管同理,通过控制输入管脚的电平,决定要显示的数字。
三、具体实现3.1 所需材料为了实现两位共阳LED数码管的驱动,我们需要以下材料:•共阳数码管 x 2•NPN晶体管 x 8•电阻 x 8•开关 x 8•电源(5V)3.2 电路连接将以上材料按照以下电路图进行连接:+--------------++---------+ | || | | || GPIO1 |-----+-----| 330 Ω || | | | |+---------+ +---->| || |+--------------++---------+ | || | | || GPIO2 |-----+-----| 330 Ω || | | | |+---------+ +---->| || |+--------------++---------+ | || | | || GPIO3 |-----+-----| 330 Ω || | | | |+---------+ +---->| || |+--------------++---------+ | || | | || GPIO4 |-----+-----| 330 Ω || | | | |+---------+ +---->| || 数码管1 |+--------------+| || || 数码管2 || |+--------------+3.3 控制程序我们可以使用代码来控制GPIO口的电平,从而实现对数码管的驱动。
单片机驱动数码管电路
单片机驱动数码管电路数码管是一种常见的显示器件,广泛应用于各种电子设备中。
为了实现对数码管的驱动,可以使用单片机来完成。
单片机是一种集成电路,内部集成了处理器、存储器和各种输入输出接口,能够完成各种控制任务。
数码管电路主要由数码管、电流限制电阻和单片机组成。
数码管是一种七段显示器,能够显示0~9的数字。
电流限制电阻用于控制数码管的亮度,通常选择合适的电阻使得数码管的亮度适中。
单片机则负责控制数码管的显示。
单片机通过输出高低电平信号来控制数码管的显示。
七段显示器的每一段都对应一个引脚,通过控制引脚的电平状态,就可以实现对数码管的控制。
单片机通过改变引脚的电平状态来控制数码管的显示内容。
单片机驱动数码管的原理如下:首先,单片机通过输出引脚的高低电平来控制数码管的每一段,通过控制引脚的电平状态,可以使某一段亮起或者熄灭。
然后,通过改变每一段的亮灭状态,可以实现对数字的显示。
例如,要显示数字1,就只需要点亮第二段,其他段熄灭即可。
同理,要显示其他数字,只需要点亮相应的段,其他段熄灭即可。
为了实现对数码管的驱动,需要编写相应的程序。
在程序中,需要定义每一段对应的引脚,并通过控制引脚的电平状态来控制数码管的显示。
同时,还需要定义每个数字对应的亮灭状态,通过改变亮灭状态来实现数字的显示。
例如,要显示数字0,需要点亮除了第三段之外的其他段,其他段熄灭。
通过改变每一段的亮灭状态,可以实现对数字的显示。
同时,还可以通过改变每一段的亮度来控制数码管的亮度。
通过改变电流限制电阻的值,可以改变流过数码管的电流大小,从而改变数码管的亮度。
在实际应用中,单片机驱动数码管的电路可以通过外部电路连接数码管和单片机。
通过合理设计电路连接方式,可以实现对多个数码管的驱动。
例如,可以通过复用引脚的方式,将多个数码管连接在一起,并通过单片机的输出引脚来控制每个数码管的显示内容。
总结起来,单片机驱动数码管的电路可以实现对数码管的显示控制。
数码管驱动电路设计与制作实训报告
数码管驱动电路设计与制作实训报告一、实验目的:通过本实验,学生应该能够掌握以下技能:1. 理解数码管的结构和工作原理;2. 理解集成电路的组成和作用;3. 搭建简单的数字电路实验电路,并对其进行测试和调试;4. 了解数字电路测试仪器的使用方法。
二、实验原理:数码管的基本结构和工作原理数码管是一种常见的数字显示器,它能够将数字信号转换成人能够识别的数字形式。
数码管有共阴极数码管和共阳极数码管之分。
数码管的共阳极管脚接通正电压时,会点亮数字管,而共阴极管脚接通负电压时,会点亮数字管。
一般来说,共阳极数码管比较亮度高,而共阴极数码管比较省电,但点亮时需要较高的电压。
集成电路的组成和作用集成电路(IC)是指由多个晶体管、电容器、电阻器等元器件组成的电路芯片。
它的主要优点是尺寸小、功耗低、成本低,同时还有很多其他的优点。
集成电路主要有数字集成电路和模拟集成电路之分。
数字集成电路用于数字逻辑运算,比如门电路、寄存器电路、计数器电路等;模拟集成电路用于模拟电路,比如放大器电路、滤波器电路等。
搭建简单的数字电路实验电路在本实验中,我们将会使用常用的电路元件(如集成电路、电阻、电容、二极管等)来搭建一个简单的数字电路,用于控制和驱动数码管显示数字。
了解数字电路测试仪器的使用方法为了测试数字电路的性能,我们需要使用数字电路测试仪器。
常用的数字测试仪器包括数字信号发生器、逻辑分析仪、示波器、数字电压表、串口调试助手等。
三、实验过程:1. 收集数码管资料,并了解其工作原理。
2. 准备电路元件,并按照电路图搭建数字电路实验电路。
3. 用数字信号发生器产生频率为1Hz的脉冲信号,接到计数器电路中。
4. 将计数器的输出接到数码管的驱动电路中。
5. 打开数字电路测试仪器,对电路进行测试和调试,确保数字电路能够正常工作。
四、实验结果:经过测试和调试,数字电路实验电路能够正常工作,能够显示数字。
同时,我们还能够了解到数码管的结构和工作原理、集成电路的组成和作用,以及数字电路测试仪器的使用方法。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
/txz01/blog怎样用单片机驱动LED数码管显示怎样用单片机驱动LED数码管显示片机驱动LED数码管有很多方法,按显示方式分,有静态显示和动态(扫描)显示,按译码方式可分硬件译码和软件译码之分。
静态显示就是显示驱动电路具有输出锁存功能,单片机将所要显示的数据,显示数据稳定,占用很少的CPU时间。
动态显示需要CPU时刻对显示器件进行数据刷新,显示数据有闪烁感,占用的CPU时间多。
这两种显示方式各有利弊;静态显示虽然数据稳定,占用很少的CPU时间,但每个显示单元都需要单独的显示驱动电路,使用的硬件较多;动态显示虽然有闪烁感,占用的CPU时间多,但使用的硬件少,能节省线路板空间。
硬件译码就是显示的段码完全由硬件完成,CPU只要送出标准的BCD码即可,硬件接线有一定标准。
软件译码是用软件来完成硬件的功能,硬件简单,接线灵活,显示段码完全由软件来处理,是目前常用的显示驱动方式。
比较常用的显示驱动芯片有:74LS164 , CD4094+ULN2003(2803) ,74HC595+ULN2003(2803) , TPIC6B595,AMT9095B, AMT9595等许多。
另外,市场上还有一些专用的LED扫描驱动显示模块如MAX7219等,功能很强,价格稍高一些。
下面是一个用74LS164驱动显示的例子和一个用4094扫描驱动显示的例子:上例图中加了一个PNP型的三极管来控制数码管的电源,是因为164没有数据锁存端,数据在传送过程中,对输出端来说是透明的,这样,数据在传送过程中,数码管上有闪动现象,驱动的位数越多,闪动现象越明显。
为了消除这种现象,在数据传送过程中,关闭三极管使数码管没电不显示,数据传送完后立刻使三极管导通,这样就实现锁存功能。
这种办法可驱动十几个164显示而没有闪动现象。
这个例子是用4094做位选,用89C2051的P1口线做段驱动来扫描驱动9位数码管的显示。
由于4094只有8个输出口线,其中第九位是用CPU口线直接进行位选的。
9个LED的所有相同位置的段口线都接到一起,然后接到单片机的一个口线上,供八段,使用8条CPU口线。
其软件的工作过程是这样的:要在LED上显示1--9位数,首先将1的段码送到P1口线上,然后向4094送位选数据01,使4094的Q1口线输出高电平,选中第一位数码管,使阳极得电,在第一位上就显示出1,重复上述过程,一直到第九位,然后不断重复这一过程,就实现了扫描显示。
protues元件库中英文对照表,对初学者找不到元件的很有用元件名称中文名说明7407 驱动门1N914 二极管74Ls00 与非门74LS04 非门74LS08 与门74LS390 TTL 双十进制计数器7SEG 4针BCD-LED 输出从0-9 对应于4根线的BCD码7SEG 3-8译码器电路BCD-7SEG转换电路ALTERNATOR 交流发电机AMMETER-MILLI mA安培计AND 与门BATTERY 电池/电池组BUS 总线CAP 电容CAPACITOR 电容器CLOCK 时钟信号源CRYSTAL 晶振D-FLIPFLOP D触发器FUSE 保险丝GROUND 地LAMP 灯LED-RED 红色发光二极管LM016L 2行16列液晶可显示2行16列英文字符,有8位数据总线D0-D7,RS,R/W,EN三个控制端口(共14线),工作电压为5V。
没背光,和常用的1602B 功能和引脚一样(除了调背光的二个线脚)LOGIC ANALYSER 逻辑分析器LOGICPROBE 逻辑探针LOGICPROBE[BIG] 逻辑探针用来显示连接位置的逻辑状态LOGICSTATE 逻辑状态用鼠标点击,可改变该方框连接位置的逻辑状态LOGICTOGGLE 逻辑触发MASTERSWITCH 按钮手动闭合,立即自动打开MOTOR 马达OR 或门POT-LIN 三引线可变电阻器POWER 电源RES 电阻RESISTOR 电阻器SWITCH 按钮手动按一下一个状态SWITCH-SPDT 二选通一按钮VOLTMETER 伏特计VOLTMETER-MILLI mV伏特计VTERM 串行口终端Electromechanical 电机Inductors 变压器Laplace Primitives 拉普拉斯变换Memory IcsMicroprocessor IcsMiscellaneous 各种器件AERIAL-天线;ATAHDD;ATMEGA64;BATTERY;CELL;CRYSTAL-晶振;FUSE;METER-仪表;Modelling Primitives 各种仿真器件是典型的基本元器模拟,不表示具体型号,只用于仿真,没有PCBOptoelectronics 各种发光器件发光二极管,LED,液晶等等PLDs & FPGAsResistors 各种电阻Simulator Primitives 常用的器件Speakers & SoundersSwitches & Relays 开关,继电器,键盘Switching Devices 晶阊管Transistors 晶体管(三极管,场效应管)TTL 74 seriesTTL 74ALS seriesTTL 74AS seriesTTL 74F seriesTTL 74HC seriesTTL 74HCT seriesTTL 74LS seriesTTL 74S seriesAnalog Ics 模拟电路集成芯片Capacitors 电容集合CMOS 4000 seriesConnectors 排座,排插Data Converters ADC,DACDebugging Tools 调试工具ECL 10000 Series 各种常用集成电路protues常用器件2007-08-08 14:18分分立元件库元件名称及中英对照AND 与门ANTENNA 天线BATTERY 直流电源BELL 铃,钟BVC 同轴电缆接插件BRIDEG 1 整流桥(二极管)BRIDEG 2 整流桥(集成块) BUFFER 缓冲器BUZZER 蜂鸣器CAP 电容CAPACITOR 电容CAPACITOR POL 有极性电容CAPVAR 可调电容CIRCUIT BREAKER 熔断丝COAX 同轴电缆CON 插口CRYSTAL 晶体整荡器DB 并行插口DIODE 二极管DIODE SCHOTTKY 稳压二极管DIODE VARACTOR 变容二极管DPY_3-SEG 3段LEDDPY_7-SEG 7段LEDDPY_7-SEG_DP 7段LED(带小数点) ELECTRO 电解电容FUSE 熔断器INDUCTOR 电感INDUCTOR IRON 带铁芯电感INDUCTOR3 可调电感JFET N N沟道场效应管JFET P P沟道场效应管LAMP 灯泡LAMP NEDN 起辉器LED 发光二极管METER 仪表MICROPHONE 麦克风MOSFET MOS管MOTOR AC 交流电机MOTOR SERVO 伺服电机NAND 与非门NOR 或非门NOT 非门NPN NPN三极管NPN-PHOTO 感光三极管OPAMP 运放OR 或门PHOTO 感光二极管PNP 三极管NPN DAR NPN三极管PNP DAR PNP三极管POT 滑线变阻器PELAY-DPDT 双刀双掷继电器RES1.2 电阻RES3.4 可变电阻RESISTOR BRIDGE ? 桥式电阻RESPACK ? 电阻SCR 晶闸管PLUG ? 插头PLUG AC FEMALE 三相交流插头SOCKET ? 插座SOURCE CURRENT 电流源SOURCE VOLTAGE 电压源SPEAKER 扬声器SW ? 开关SW-DPDY ? 双刀双掷开关SW-SPST ? 单刀单掷开关SW-PB 按钮THERMISTOR 电热调节器TRANS1 变压器TRANS2 可调变压器TRIAC ? 三端双向可控硅TRIODE ? 三极真空管VARISTOR 变阻器ZENER ? 齐纳二极管DPY_7-SEG_DP 数码管SW-PB 开关4.自制单片机之四----数码管电路的制做与驱动2007-08-21 21:17数码管的使用方法与发光二极管没什么区别,只是把七或八只发光二极管组合在一个模件上组成了个8字和小数点,用以显示数字。
为了减少管脚,把各个发光管的其中同一个极接在一起作为共用点,因此就产生了共阳极和共阴极数码之说。
共阳管就是把各个发光管的正极接在一起,而共阴管就刚好相反。
见下图:一般来说大部分的逻辑IC的吸收电流要强于输出电流。
因此,大家都爱使用共阴极的数码管,因为可选的IC多些。
很可惜,我的这组数码管是共阳的,因此公共端我打算用三级管来驱动。
我的最小系统板:我用最常用的S9012,首先我得计划好电路方式,就采用最常用的动态扫描显示。
先搭建最简电路,调试出需采用元件的参数。
先不接上图的R2和74HC244,将数码管一个段直接接地。
调节R1,测得S9012基极电流为0.21mA时集电极也就是数码管上已有40mA,说明放大倍数足够了。
这时接上R2和74HC244,调节R2使数码管电流控制在15mA,这样当8个段一起点亮时三极管上得通过120mA的电流。
而基极上需要0.63mA,为了减小三极管的负荷应使三极管过饱和,,调节R1使基极电流为2mA,此时测得集电极和漏极之间的电压约0.1V。
好!此时R1为2K。
R2为240欧姆。
确定。
接下来就是确定电路。
电路的接口与AT89S51间有三组接口:段码、位码和电源。
为了让AT89S51独立出来这三级接口都采用插针做接口,用排线自由连接到AT89S51的P1-P3口,电源用短路帽连接,完成后的板子见下图反面:说明:然后就是写程序。
先写个查询方式的吧!//六位管码管在以0.3秒的间隔在闪烁,这是采用查询方式的,比较占CUP资源/******************************************************************** 定义管脚:P2_0-------上横 a P3_0-------个位P2_1-----右上竖 b P3_1-------十位P2_2-----右下竖 c P3_2-------百位P2_3----- 下横 d P3_3-------千位P2_4-----左下竖 e P3_4-------万位P2_5-----左上竖 f P3_5-------十万位 P2_6-----中间横 gP2_7-----小数点 H********************************************************************* /# include <AT89X51.h>typedef unsigned char uchar;uchar code bit_num[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf};//位码值表:0,1,2,3,4,5uchar code meg_val[]={0x03,0x9f,0x25,0x0d,0x99,0x49};//段码值表:0,1,2,3,4,5uchar code hello[]={0x03,0xe3,0xe3,0x61,0x91,0xff}; //HELLO uchar code beybey[]={0x89,0x61,0xc1,0x89,0x61,0xc1};//beybeyuchar code ab6789[]={0xc1,0x11,0x09,0x01,0x1f,0x41};//ab6789void delay(int n);void main(void){uchar i,m;P2=0xff; //先将段码关闭P3=0xff; //将位码关闭delay(20);//等待一会while(1){for (m=30;m>0;m--) //显示30次约0.3秒{for(i=0;i<=5;i++){P2=0xff;P3=bit_num[i]; //输出位码到P3口P2=ab6789[i]; //输出段码到P2口delay(5);}}P2=0xff; //关闭段码P3=0xff; //关闭位码delay(1000); //等待0.3秒}}void delay(int n) //子程序{int j;uchar k;for(j=0;j<n;j++){for(k=255;k>0;k--);}}======================================当我插把程序写入片子,插上电运行时,是乱码。