实验2—占空比可调的PWM信号发生器

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验2 占空比可调的PWM信号发生器

一、实验任务

基本部分:

(1)用51单片机设计一个周期固定且占空比可调的PWM信号发生器。

(2)参数要求:

a、信号周期为20ms,占空比范围1%-100%可调;

b、用两个按键分别调整增量或减量;增量级别分为±1%和±5%两档可调,

且要求可用按键选择;

c、要求用两位LED数码管实时显示当前的占空比;

d、51单片机晶振频率为12MHz。

扩展部分:

(1)在基本部分设计的电路中,为输出的PWM信号增加光耦隔离输出电路;(2)为占空比调整过程增加超界声光报警电路;

(3)可否改为脉宽固定而周期可改变的PWM信号发生器(简略说明,不要求设计编程)。

二、实验要求

1、在PROTEUS中画出硬件设计图(AT89C51、12MHz晶振震荡电路、复位电路、

按键调整电路、LED数码管显示电路、扩展部分电路)。

2、按任务要求用汇编或C编写程序并编译通过。

3、在PROTEUS下仿真通过。

三、报告要求

1、任务分析、实现方案和程序流程图;

2、硬件电路图;

3、全部程序清单;

4、打印出实验报告。

相关文档
最新文档