篮球比赛数字记分牌

合集下载

篮球数字记分牌

篮球数字记分牌

数字电子技术课程设计篮球比赛数字记分牌院系:机电工程专业:电子信息工程年级(班级):2013级(2)班姓名:叶庆缘学号: 20134082035指导教师:陈丽华完成日期: 2015 年 3 月 21日成绩:目录1 引言 (1)2 设计原理与方案 (1)2.1 设计任务与要求 (1)2.2 设计方案 (1)3 电路设计与调试 (2)3.1 模块设计 (2)3.1.1 计数\编码电路 (2)3.1.2 译码显示电路 (2)3.1.3 开关控制输入电路 (2)3.2 单元电路的设计 (3)3.2.1 计数\编码电路的设计 (3)3.2.2 译码\驱动\显示电路设计 (4)3.2.3 信号输入控制电路设计 (6)4 结论 (6)5 设计心得体会 (6)参考文献 (7)附录A 整体电路图 (8)附录B 元件清单 (9)附录C 实物图 (10)篮球比赛数字记分牌1 引言电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。

而对于体育的兴趣我就选择了篮球记分牌这个题目,所以有这样一个机会的我真的很兴奋同时我也有机会提升自己在数字电路中理论的能力.2 设计原理与方案2.1 设计任务与要求2.1.1设计篮球比赛数字计分牌,以达到以下要求:1.分别记录两队得分情况;2.进球得分加2分,罚球进球得分加1分;3.纠正错判得分减2分或1分;4.分别用三个数码管显示器记录两队的得分情况。

2.2 设计方案1、篮球比赛数字计分牌要求能分别记录两队的得分的情况,可清零重新开始比赛,所以设置一开关用来复位。

2、计分牌由百、十、个位组成,用LED数码管(共阴)显示,配用相应译码器CD4511。

篮球比赛数字计分牌

篮球比赛数字计分牌

篮球比赛数字计分牌篮球比赛数字计分牌是篮球比赛中不可或缺的设备之一。

它能够实时显示比赛的比分、犯规次数、暂停次数等信息,让观众和球员们更好地了解比赛进展情况。

下面我们来详细了解一下篮球比赛数字计分牌的设计、制作和使用。

一、设计篮球比赛数字计分牌的设计需要考虑以下几个方面:1.尺寸:计分牌的尺寸应该根据比赛场地的实际情况进行选择,太大或太小都会影响观众的观看效果。

2.显示内容:计分牌需要显示比分、犯规次数、暂停次数等信息。

其中,比分应该优先显示,以便观众能够快速了解比赛情况。

3.显示方式:计分牌可以采用LED显示屏、液晶显示屏等显示方式,根据实际需要选择合适的显示方式。

4.外观设计:计分牌的外观设计应该简洁明了,颜色搭配应该与比赛场地相协调,以不影响观众观看比赛为主。

二、制作制作篮球比赛数字计分牌需要以下步骤:1.选择合适的显示设备:根据设计要求,选择合适的LED显示屏、液晶显示屏等设备。

2.编写控制程序:根据设计要求,编写控制程序,实现比分、犯规次数、暂停次数等信息的实时显示。

控制程序可以采用单片机或嵌入式系统进行编写。

3.连接电源和信号线:将显示设备连接到电源和信号线上,确保计分牌能够正常工作。

4.安装固定:将计分牌安装到比赛场地合适的位置,确保观众能够清晰地看到显示内容。

三、使用使用篮球比赛数字计分牌需要注意以下几点:1.在比赛开始前,确保计分牌能够正常工作,以免影响比赛进程。

2.在比赛过程中,及时更新比分、犯规次数、暂停次数等信息,确保显示内容与比赛实际情况相符。

3.在比赛结束后,关闭计分牌,以免造成不必要的能源浪费和设备损坏。

4.在使用过程中,注意保护计分牌,避免人为损坏或自然灾害对其造成的影响。

四、优缺点篮球比赛数字计分牌具有以下优点和缺点:优点:1.能够实时显示比赛信息,方便观众和球员了解比赛进展情况。

2.显示内容丰富,可以显示比分、犯规次数、暂停次数等信息。

3.外观设计简洁明了,不影响观众观看比赛。

【最标准】数字电子技术课程设计 篮球比赛数字计分牌

【最标准】数字电子技术课程设计 篮球比赛数字计分牌

烟台南山学院数字电子技术课程计题目篮球比赛数字计分牌姓名:所在学院:工学院电气与电子工程系所学专业:自动化班级:学号:指导教师:完成时间:数电课程设计任务书一、基本情况学时:40学时学分:1学分课程设计代码:07120052适应班级:电气工程、自动化二、进度安排本设计共安排1周,合计40学时,具体分配如下:实习动员及准备工作:2学时总体方案设计:4学时查阅资料,讨论设计:24学时撰写设计报告:8学时总结:2学时教师辅导:随时三、基本要求1、课程设计的基本要求数字电子技术课程设计是自动化、电气工程及其自动化专业的一门专业必修课,通过指导学生循序渐进地独立完成数字电路的设计任务,加深学生对理论知识的理解,有效地提高了学生的动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。

着重提高学生在数字电路应用方面的实践技能,树立严谨的科学作风,培养学生综合运用理论知识解决实际问题的能力。

学生通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。

2、课程设计的教学要求数电课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。

做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。

在实训期间需要外出查找资料,必须在指定的时间内方可外出。

课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。

小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人的设计方法和经验。

但每个学生必须单独完成设计任务,要有完整的设计资料,独立撰写设计报告,设计报告雷同率超过50%的课程设计考核按不及格处理。

四、设计题目及控制要求篮球比赛数字计分牌要求:1.分别记录两队得分情况;2.进球得分加2分,罚球进球得分加1分;3.纠正错判得分减2分或1分;4.分别用三个数码管显示器记录两队的得分情况。

篮球赛电子计时记分牌

篮球赛电子计时记分牌
3.2 复位和振荡电路的设计
图 2 给出了 AT89C52 单片机的引脚分布和最小系统接法。
图2 AT89C52单片机最小系统原理图
复位电路可以实现上电复位和按键复位两种复位方式:上电复位电路由电容 串联电阻构成,由图并结合“电容电压不能突变”的性质,可以知道,当系统一上 电,RST 脚将会出现高电平,并且这个高电平持续的时间由电路的 RC 值来决定。 典型的 51 单片机当 RST 脚的高电平持续两个机器周期以上就将复位,所以适当 组合 RC 的取值就可以保证可靠的复位。按键复位电路则是通过按下 RESET 按 键拉高 RST 引脚电平来实现的。 晶体振荡电路由一个 12MHZ 石英晶振和两个 30pF 的电容组成,用于产生稳定
结 论............................................................................................................................ 26 参考文献...................................................................................................................... 27
键盘输入模 块
3*3 矩阵键盘
主控模块 AT89C52
信息提示模 块
数码管
LED
蜂鸣器
图1 系统硬件结构图
主控模块以 AT89C52 为核心,完成输入输出信息处理、计时器中断响应、 数值计算等,协调整个系统有条不紊地工作。键盘输入模块作为人机交互接口, 允许用户控制系统的工作状态,完成如计时计分开始/暂停、交换场地、计时计 分清零、比赛队伍分数调整等功能。信息提示模块包含 4 个 2 位 8 段数码管、6 个 LED 和一个蜂鸣器,用于实时显示系统工作状态,方便用户进行下一步操作。

课程设计--篮球比赛计分牌设计

课程设计--篮球比赛计分牌设计

电子技术课程设计题目:篮球比赛计分牌设计专业:电气工程及其自动化班级:姓名:`````学号:23指导老师:小组成员:成绩:篮球比赛数字计分牌的设计摘要随着科技的发展和人们生活水平的逐渐提高,各种有利于生活的电子产品开始逐步进入人们的生活。

数字记分牌的出现则代替了记分员人工翻动记分牌累计积分的繁琐劳动,使各种比赛进入了更智能、更高效、更精准的人机互动时代,在各种比赛中具有重要意义。

本文介绍了基于数字电路的篮球数字计分牌的设计,在硬件方面使用三片四位二进制加法器 74LS161分别组成 1,2,3 进制计数器,用三个开关分别进行 1分,2 分,3 分的计数,然后把数值通过逻辑电路输入到十进制加/减计数器74LS192进行总分的累加。

使用两个七段LED管进行显示十位,个位, LED采用的七段数码显示,用74LS48芯片进行驱动。

软件方面使用Multisim对可逆计数器进行仿真,整个系统能够对篮球比赛过程中比赛分数进行计数累加,实现对整个比赛的计分。

关键字:数字记分器;LED 数码管;计数器;仿真目录一、设计项目与目的二、设计方案及原理 (2)三、单元电路得设计 (10)1 二进制加法计数器74LS161 (10)2 十进制可逆计数器74LS192 (11)3 七段数码显示管 (11)4 七段显示译码器74LS48 (11)四、电路元件清单 (12)五、电路设置及仿真1 电路设置 (11)2 电路仿真 (11)六、总结及心得一、设计任务与目的设计一个篮球比赛数字计分器,掌握数字计分器的工作原理和设计方法。

要求:(1)分别记录两队得分情况;(2)进球得分加2分,罚球进球得分加1分;(3)纠正错判得分减2分或1分;(4)分别用三个数码管显示器记录两队的得分情况。

设计目的:(1)熟悉中规模集成可逆计数器,译码器和显示器的功能;(2)培养创造性地运用所学知识进行数字系统设计的能力和兴趣;(3)了解数字系统的实验及调试方法,以及一般故障的排除方法。

篮球比赛电子记分牌数电课程设计

篮球比赛电子记分牌数电课程设计

广东石油化工学院课程设计说明书课程名称:数字电子技术课程设计题目:篮球比赛电子记分牌学生姓名:专业:班级:学号:指导教师:日期:年月日篮球比赛电子记分牌一、设计任务与要求设计一个符合篮球比赛规则的记分系统。

(1)有得1分、2分和3分的情况,电路要具有加、减分及显示的功能。

(2)有倒计时时钟显示,在“暂停时间到”和“比赛时间到”时,发出声光提示。

(3)有比赛规则规定的其他计时、记分要求。

二、方案设计与论证整个电路分为倒计时,记分牌,持球与暂停秒牌,加时与中场休息。

倒计时部分:总比赛时间为40分钟,分4个小节,每节10分钟,需要用到4个十进制计时器;记分牌可以根据情况分别加1分2分3分,所以需要3个脉冲分路,同时添加一个减法器,以解决误判情况;设置一个时间暂停,暂停灯会亮,在比赛进行时,设置一个持球时间倒计时,持球结束可以重置为零,若持球时间到,要有灯提示电路框图为三、单元电路设计与参数计算74LS192输入输出清零置数加计数减计数数据QA QB QC QDCLR LOAD‵UP DOWN A B C D1 ×××××××0 0 0 00 0 ××d0 d1 d2 d3 d0 d1 d2 d30 1 ↑ 1 ××××递增计数0 1 1 ↑××××递减计数0 1 ↑ 1 ××××保持74LS160CLK CLR‵LOAD‵ENP ENT 工作状态×0 ×××置零↑ 1 0 ××预置数× 1 1 0 1 保持× 1 1 ×0 保持(但C=0)↑ 1 1 1 1 计数1.倒计时电路图如下如图所示,元件U1,U2,U3,U4是4个74LS192,而U5-U8是七段字符显示器。

篮球比赛记分牌电路设计

篮球比赛记分牌电路设计
针对电子线路课程要求对学生进行实用型电子线路设计安装调试等各环节综合性训练配养学生运用课程中所学的理论与实践紧密结合独立的解决实际问题的能力
中北大学
课程设计任务书
2Байду номын сангаас13/2014学年第一学期
学院:信息与通信工程学院
专业:电子信息科学与技术
学生姓名:学号:
课程设计题目:篮球比赛数字记分牌设计
起迄日期:2013年12月23日~2014年1月3日
6.郑步生,吴渭编.Multisim2001电路设计及仿真入门与应用.北京:电子工业出版社,2002
5.设计成果形式及要求:
1)电路原理图
2)课程设计说明书
6.工作计划及进度:
2013年12月23日~12月25日了解设计题目及熟悉资料;
12月26日~12月27日确定各题目要求计算相关参数;
12月28日~12月29日结合各题目确定具体设计方案;
3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕:
(1)、画出电路图,并进行原理图的详细叙述,
(2)、给出元器件的型号、数值和元器件封装,画出PCB图
(3)、进行电路仿真,并给出仿真结果
(4)、写出符合格式要求的设计报告。
课程设计任务书
4.主要参考文献:
1.阎石.数字电子技术基础.北京:高等教育出版社,1998
课程设计地点:中北大学
指导教师:赵辉、李永红
系主任:程耀瑜
下达任务书日期: 2013年12月23日
课程设计任务书
1.设计目的:
针对电子线路课程要求,对学生进行实用型电子线路设计、安装、调试等各环节综合性训练,配养学生运用课程中所学的理论与实践紧密结合,独立的解决实际问题的能力。学生必须独立完成一个选题的设计任务。

篮球记分牌使用方法

篮球记分牌使用方法

篮球记分牌使用方法
篮球记分牌咋用?嘿,那可简单。

比赛开始,数字都归零,就像新的开始。

一方进球,赶紧按下对应的按钮,那感觉,就像给胜利加了一把火。

注意啥呢?可不能按错喽,不然得抓狂。

得集中注意力,就像猎人盯着猎物。

安全性稳定性?这玩意儿能有啥危险?只要不摔不砸,稳稳当当的。

啥场景用?篮球比赛呀,那可是必备。

优势就是让大家清楚比分,紧张又刺激。

就像战场上的旗帜,指引着方向。

我见过一场激烈的比赛,记分牌上的数字不断变化,大家的心都跟着悬起来。

那场面,就像一场大战。

篮球记分牌,好用得很。

赶紧试试吧!。

篮球比赛数字记分牌-数字电路

篮球比赛数字记分牌-数字电路

目录一、设计任务与要求 (1)二、设计中用到的元件清单 (1)三、总体方案的设计 (1)四、模块设计 (2)五、单元电路的设计 (3)六、总体电路图 (8)七、电路工作原理 (8)八、电路组装与调试 (8)九、分析与心得 (9)十、参考文献 (9)题目:篮球比赛数字记分牌一、设计任务与要求设计篮球比赛数字计分牌,以达到以下要求:1.分别记录两队得分情况;2.进球得分加2分,罚球进球得分加1分;3.纠正错判得分减2分或1分;4.分别用三个数码管显示器记录两队的得分情况。

二、设计中用到的元件清单CD40192(可预置BCD加/减计数器(双时钟)) ---------------- 2个;CD4011或74HC00(四2输入与非门) ---------------------- 1个;CD4027 (双J-K触发器) ------------------------------- 1个;CD4511(BCD锁存,7段译码,驱动器) -----------------------2个;LED数码管 ------------------------------------------ 3个;按键开关 --------------------------------------------- 3个;二极管 1N4001 ---------------------------------------- 2个电容 104 ------------------------------------------ 2个;103 -------------------------------------------- 2个;电阻 47k -------------------------------------------- 1个;10kΩ ------------------------------------------ 5个;2kΩ ------------------------------------------ 14个;实验板一个;导线若干等。

篮球比赛数字计分牌课程设计

篮球比赛数字计分牌课程设计

数字电子技术课程设计题目篮球比赛数字计分牌姓名:所在学院:所学专业:班级学号指导教师完成时间:摘要随着社会的发展、科技的进步以及人们生活水平的逐步提高各种方便于生活的电子产品开始进入人们的生活。

数字记分牌就是这样的一款电子产品它的出现代替了记分员手动翻动记分牌积分的繁琐劳动使各种比赛进入了智能、高效、精准的人机互动时代,在体育比赛中具有重要意义。

我们本次设计的项目是篮球比赛数字记分牌,用于对篮球比赛的比赛双方实时积分。

基于篮球比赛的特点,我们选取了专门的设计方案,用三个LED数码管显示比赛一方的得分,记分员可根据现场比赛得分情况实时记录各队的得分并及时反馈到LED数码管上。

关键词篮球比赛数字记分牌 LED数码管目录1 绪论 (2)1.1篮球积分牌的设计意义 (2)1.2设计目的 (2)1.3 系统的主要功能 (2)2 总体设计方案 (3)2.1设计要求 (3)2.2方案选择 (3)2.3方案框图 (4)3 设计步骤及原理 (5)3.1、单元电路设计与参数计算 (5)3.1.1.74192功能表 (5)3.1.2.74160功能表 (5)4 元器件的选择 (9)心得体会 (10)参考文献 (10)1 绪论1.1篮球积分牌的设计意义体育比赛计分系统是对体育比赛过程中所产生的时间,比分等数据进行快速采集记录,加工处理,传递利用的信息系统。

根据不同运动项目的不同比赛规则要求,体育比赛的计时计分系统包括测量类,评分类,命中类,制胜类得分类等多种类型。

篮球比赛是根据运动队员在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的计时系统是一种得分类型的系统。

篮球比赛的得分系统由计时器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛计时系统设备应能够与现场成绩处理,现场大屏幕,电视转播车等多种设备相连,以便实现高比赛现场感,表演娱乐观众等功能目标。

1.2设计目的1、熟悉中规模集成可逆计数器,译码器和显示器的功能;2、培养创造性地运用所学知识进行数字系统设计的能力和兴趣;3、了解数字系统的实验及调试方法,以及一般故障的排除方法1.3 系统的主要功能1.有得1分、2分和3分的情况,电路要具有加、减分及显示的功能。

篮球比赛数字记分牌

篮球比赛数字记分牌

燕山大学EDA课程设计报告书题目:篮球比赛数字记分牌姓名:胡备班级:05级电子信息工程3班学号:050104020065成绩:(注:此文件应以同学学号为文件名)EDA课程题目:篮球比赛数字记分牌。

课程要求:1.分别记录两队得分情况;2.进球得分加2分,罚球进球得分加1分;3.纠正错判得分减2分或1分;4.分别用三个数码管显示器记录两队的得分情况。

实施步骤:一.电路模块化。

将要实现的功能电路分成若干小的功能模块,各自实现自己的功能。

二.设计具体化。

具体设计各个模块,然后将模块组合起来,完成课程设计的要求。

三.设计实现。

将电路下载到试验箱上,实现最初的设想。

具体流程:电路模块化。

1.分频模块。

原理如上图,用两个D触发器将一个脉冲信号延迟后再与原信号叠加,从而实现一个脉冲变为两个,即加减两次。

仿真波形如下图:2.记分模块。

此模块用分频模块,JK触发器,D触发器,以及加减计数器来实现加2,加1,减2,减1等功能,并由12位二进制数输出。

具体功能实现如述:当按下加2键时产生一个脉冲,一方面经分频模块实现一个脉冲变两个脉冲,通过D触发器给计数器74168,另一方面经JK 触发器给计数器74168一个加的信号,计数器则加2,加1时则不通过分频模块;当按下减2键时产生一个脉冲,一方面经分频模块实现一个脉冲变两个脉冲,通过D触发器给计数器74168,另一方面经JK 触发器给计数器74168一个减的信号,计数器则减2,减1时则不通过分频模块。

仿真结果如下图:3.扫描模块。

该模块为扫描电路。

用八进制计数器连接扫描地址端,用来选中相应的地址,用74151来选择要显示的相应数据,即A·B两队的相应得分情况。

并通过BCD七段译码器显示。

4.防抖模块。

此模块为防抖电路,即用D触发器防止抖动,使之不会产生离谱的结果。

仿真结果如下图:5.总电路图。

仿真结果如下图:至此,所要求的功能即全部实现。

课程设计心得:两周时间的课程设计很快就结束了。

篮球比赛记分牌

篮球比赛记分牌

课程设计说明书课程名称:数字电子技术课程设计题目:篮球比赛记分牌指导教师:***日期: 2014 年 4 月 2 日篮球比赛电子记分牌一、设计任务与要求设计一个符合篮球比赛规则的记分系统。

(1)有得1分、2分和3分的情况,电路要具有加、减分及显示的功能。

(2)有倒计时时钟显示,在“暂停时间到”和“比赛时间到”时,发出声光示。

(3)有比赛规则规定的其他计时、记分要求。

二、方案设计与论证据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。

用两片四位二进制加法计数器74LS161组成二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。

译码器显示器用于显示分数。

1.记分电路电路要具有加分、减分及显示的功能。

当球队比赛得分时,用加法记分器通过控制脉冲分路加相应的分数。

如果裁判不小心误判了,可以用减法计分器减掉误判的分数。

用三个计数器和六个半导体数码管LED 进行对主队和客队的分数统计和显示,如图1所示:图1 记分电路2.倒计时钟电路首先是全场的总倒计时电路,全场四十分钟,分四节,每节十二分钟,每打完一节倒计时暂停,并伴随灯亮通知,进入休息时间,此段时间不予计算。

其次为每队持球进攻的时间为二十四秒,与总时间同步,此段计时电路具有重置开关,可对比赛球队进行持球时间判断。

再次为二十秒暂停时间,可用于比赛球队叫停,进行战术调整等,此时切换至暂停电路,总倒计时和持球时间暂停工作,当暂停时间完后,再次切换至总场时间电路。

电路如图2所示:图2 倒计时钟电路三、单元电路设计与参数计算表1 74192功能表表2 74160功能表CLKCLR LOAD ENP ENT 工作状态 0 × × × 置零 1 0 × × 预置数 × 1 1 0 1 保持 × 1 1 × 0 保持(但C=0)↑1111计数压电式蜂鸣器压电式蜂鸣器主要由多谐振荡器、压电蜂鸣片、阻抗匹配器及共鸣箱、外壳等组成。

篮球赛计时计分牌

篮球赛计时计分牌

娱乐活动
计时计分牌可用于演唱会、展览 等活动,为活动组织者提供便捷 的计时计分工具,丰富活动内容。
商业应用
在商场、超市等商业场所,计时 计分牌可用于促销活动的倒计时、 商品销售的计时等,提高商业运 营效率。
数据安全与隐私保护的挑战
数据加密传输
采用数据加密技术,确保比赛数据在传输过程中 的安全性和保密性,防止数据被窃取或篡改。
提供准确比赛信息的功能,方便观众了解比赛进程。
03
同时,这些赛事的计时计分牌也有助于裁判和比赛组
织者更好地掌控比赛进程,确保比赛的公平、公正。
05
计时计分牌的未来发展趋 势与挑战
技术创新与升级
智能化
利用物联网、传感器等技术,实现计时计分牌的远程控制和实时 更新,提高比赛数据的准确性和实时性。
大屏幕显示
权限管理
对计时计分牌的操作和管理进行严格的权限控制, 防止未经授权的人员对数据进行修改或泄露。
数据备份与恢复
建立完善的数据备份和恢复机制,确保比赛数据 的安全可靠,防止意外情况导致数据丢失。
06
如何选择合适的计时计分 牌
赛事需求与功能要求
赛事规模
01
根据比赛的规模和级别,选择相应尺寸和显示效果的计时计分
控制部分
控制部分是计时计分牌的大脑,负责接收、处理和发送指令,控制整个计时计分 牌的工作。
控制部分通常由微控制器或单片机等嵌入式系统组成,能够按照预设的程序和规 则,自动完成计时、计分、犯规、暂停等操作。
电源部分
电源部分为计时计分牌提供电力,确 保其正常工作。
电源部分通常采用稳定的开关电源或 线性电源,能够提供足够的电流和电 压,保证计时计分牌的正常运行。
牌。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

广西科技大学(筹)课程设计说明书课题名称篮球比赛数字记分牌系别职业技术教育学院专业电子信息工程班级电子Z102班学号孙思(201002203092)池亮(201002203090)李友军(201002203079)学生姓名孙思池亮李友军指导教师廖贵成摘要:随着社会的发展、科技的进步以及人们生活水平的逐步提高,各种方便于生活的电子产品开始进入人们的生活。

数字记分牌就是这样的一款电子产品,它的出现代替了记分员手动翻动记分牌积分的繁琐劳动,使各种比赛进入了智能、高效、精准的人机互动时代,在体育比赛中具有重要意义。

我们本次设计的项目是篮球比赛数字记分牌,用于对篮球比赛的比赛双方实时积分。

基于篮球比赛的特点,我们选取了专门的设计方案,用vhdl设计数字电路,用三个LED数码管显示比赛一方的得分,记分员可根据现场比赛得分情况实时记录各队的得分,并及时反馈到LED数码管上。

关键词:篮球比赛数字记分牌vhdl LED数码管目录一、前言 (4)二、设计要求 (4)三、设计内容 (4)1、基本原理 (4)2、功能描述 (5)3.程序设计 (5)3.1 vhdl源代码...................................................................................................... 5-8 3.2 vhdl源代码分析 .......................................................................................................... 8-94、仿真结果 ................................................................................................................................. 9-10四、总结............................................................................................................................. 11-12五、参考文献 (12)一、前言数字记分牌在许多领域中得到普遍应用,在体育比赛、各种现场抢答比赛、各种互动游戏中均能见其身影。

在篮球比赛中,若采用人工计分的方法势必非常繁琐且错误率高,而采用数字记分牌就能高效的解决这一问题,由此可见计分牌在现代社会的各个领域的重要作用。

这次设计是一个难得的机会,也将成们为大学四年中十分宝贵的经历,它既是对我们所学理论知识的一次有效检验,也是对我们的实践认识和能力的一次提高,通过这次数字逻辑实训设计,我们相信对本专业的兴趣会更加浓厚,对本专业知识有更深的了解。

二、设计要求(1)分别记录两队得分情况;(2)进球得分加2分,罚球进球得分加1分;(3)纠正错判得分减2分或1分;(4)分别用三个数码管显示器记录两队的得分情况。

三、设计内容1、基本原理题目中要分别用三个数码管来记录两队的得分情况,需要用到的模块有:可逆加法器、译码器、数码管。

现用vhdl编写可逆加法器和译码器的源代码,将其整合为一个模块,其符号图见下图:图1-12、功能描述输入端:clk:控制时钟clr:实现数码管异步清零,clr为高电平时,三个数码管数据自动归零,clr 为低电平是,三个数码管进行正常的加减计数;a2:实现进球加2分功能;a1:实现罚球进球加1分功能;d2:实现纠正错判减2分功能;d1:实现纠正错判减1分功能;输出端:ym4_1:作为个位数数码管译码输入信号;ym4_2:作为十位数数码管译码输入信号;ym4_3:作为百位数数码管译码输入信号;3、程序设计3.1 vhdl源代码LIBRARY ieee;use ieee.std_logic_1164.all; (1)use ieee.std_logic_arith.all; (2)use ieee.std_logic_unsigned.all; (3)ENTITY s IS (4)PORT(clk : in std_logic; (5)clr : in std_logic; (6)a1 : in std_logic; (8)d2 : in std_logic; (9)d1: in std_logic; (10)ym4_1 : out std_logic_vector(3 downto 0); (11)ym4_2 : out std_logic_vector(3 downto 0); (12)ym4_3 : out std_logic_vector(3 downto 0)); (13)End s ; (14)ARCHITECTURE arch OF s IS (15)signal Q1 : std_logic_vector(3 downto 0); (16)signal Q2 : std_logic_vector(3 downto 0); (17)signal Q3 : std_logic_vector(3 downto 0); (18)signal temp: std_logic; (19)signal en: std_logic; (20)begin (21)process(clk,clr) (22)begin (23)if clr='0' then (24)Q1 <= "0000"; (25)Q2 <= "0000"; (26)Q3 <= "0000"; (27)elsif clk'event and clk='1' then (28)if a2 ='1' and a2=not(temp) then (29)en<='1'; (30)Q1 <= Q1+"0010"; (31)if Q1="1000" then (32)Q1 <= "0000"; (33)Q2 <= Q2+1; (34)if Q2="1001" then (35)Q2 <= "0000"; (36)Q3 <= Q3+1; (37)end if; (38)elsif Q1="1001" then (39)Q1 <= "0001"; (40)Q2 <= Q2+1; (41)if Q2="1001" then (42)Q2 <= "0000"; (43)end if; (45)end if; (46)elsif a1='1' and a1=not(temp) then (47)en<='1'; (48)Q1 <= Q1 + "0001"; (49)if Q1="1001" then (50)Q1 <= "0000"; (51)Q2 <= Q2+1; (52)if Q2="1001" then (53)Q2 <= "0000"; (54)Q3 <= Q3+1; (55)end if; (56)end if; (57)elsif d2='1' and d2=not(temp) then (58)en<='1'; (59)Q1 <= Q1 - "0010"; (60)if Q1="0001" then (61)Q1 <= "1001"; (62)Q2 <= Q2-1; (63)elsif Q1="0000" then (64)Q1 <="1000"; (65)Q2 <= Q2-1; (66)end if; (67)elsif d1='1' and d1=not(temp) then (68)en<='1'; (69)Q1 <= Q1 - "0001"; (70)if Q1="0000" then (71)Q1 <= "1001"; (72)Q2 <= Q2-1; (73)end if; (74)else en<='0'; (75)end if; (76)end if; (77)ym4_1<=Q1; (78)ym4_2<=Q2; (79)ym4_3<=Q3; (80)if clk'event and clk='0' then (81)if (a1 or a2 or d1 or d2)='0' then (82)temp<=(a1 or a2 or d1 or d2); (83)end if; (84)if (a1 or a2 or d1 or d2)='1'then (85)if en='1' then (86)temp<=(a1 or a2 or d1 or d2); (87)end if; (88)end if; ………………………………………………………………89.end if; (90)end process ; (91)end arch; (92)3.2 vhdl源代码分析程序端口有六个输入变量,分别为clk、clr、a2、a1、d2、d1,另有四个三个输出变量,分别为ym4_1、ym4_2、ym4_3,其功能见功能描述。

结构体中声明了5个内部变量,分别为Q1、Q2、Q3、temp和en,Q1、Q2、Q3储存数码管的译码输入信号,并将其值传递给输出变量ym4_1、ym4_2、ym4_3,temp和en 起到控制使能的作用。

时钟频率设定好后,当clr为高电平时,Q1、Q2、Q3自动清零,clr为低电平时,可以进行加法、减法操作。

下面就“加2”的情况对程序的运行进行说明。

相关文档
最新文档