EDA课程设计(四路抢答器)
EDA课设 四路抢答器武汉理工
目录摘要.................................................................................................................... 错误!未定义书签。
1.课程设计目的 (3)2.系统功能及要求 (3)3.系统设计思路 (3)4.各模块芯片展示及说明 (4)4.1抢答模块 (4)4.2计分模块 (5)4.3计时模块 (5)4.4译码模块 (6)5.各模块程序及波形图 (6)5.1抢答器 (6)5.2计分模块 (8)5.3计时模块 (13)5.4译码模块 (14)6.总电路图及仿真波形图 (16)7. 总结与体会 (17)参考文献 (19)摘要EDA及电子设计自动化,是指使用计算机自动完成电子系统的设计,应用EDA技术进行电子产品的设计已成为当今电子工程师的一项基本技。
利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。
VHDL语言:超高速集成电路硬件描述语言,是IEEE的一项标准设计语言。
它源于美国国防部提出的超高速集成电路计划,是ASIC设计和PLD设计的一种主要输入工具。
本次课程设计的目的是在学习完EDA课程的基础上,运用EDA的知识即VHDL语言,编写程序来实现此次我设计的电子抢答器所要实现的功能,不仅会编写程序,还要能够在实验室中检测我所编写的程序是否能够达到预期的目的。
关键词:EDA技术;VHDL语言;多路抢答器;功能模块基于EDA的多功能音乐抢答器1.课程设计目的(1)通过课程设计使学生能熟练掌握一种EDA软件的使用方法,能熟练进行设计输入、编译、管脚分配、下载等过程,为以后进行工程实际问题的研究打下设计基础。
(2)通过课程设计使学生能利用EDA软件进行至少一个电子技术综合问题的设计,设计输入可采用图形输入法或VHDL硬件描述语言输入法。
EDA电子项目4路抢答器的原理图输入设计
4路抢答器适用于各类知识竞赛、文娱综艺节目,一 般有抢答、违例、抢答时限、答题时间倒计时/正 计时显示等功能。 本项目设计一个简易4路抢答器:设置4个按键作 为4路抢答开关,4个LED作为抢答指示,当按下抢 答开始键开始抢答。一旦某路抢答成功,蜂鸣器 发声,同时与抢答开关对应的LED被点亮指示抢答 的组别,输出被锁定,抢答开关按键无效直到再 次按下抢答开始键。
(5)完成器件编程
四、项目实施
2.硬件电路调试及排故
电路调试: 按下复位键,再按下第一个抢答键,是否听到蜂鸣器发声,同 时第一个LED是否发光,此时再按抢答键是否起作用。再按下 复位键,蜂鸣器是否停止发声。以相同的方法调试其他抢答键。
故障分析及排除:
1.完成器件编程后,蜂鸣器常叫不止,直到抢答器按下。出 现这种情况,是由于4输入与非门调用成了4输入与门。 2.无论怎样按复位键和抢答键,抢答器始终无反应。出现这 种情况,应该考虑管脚没有分配好,或者分配了管脚,但是没 有重新编译。
(2) 建编辑原理图设计文件
新建原理图文件QDQ.BDF。
放置元件,调整元件位置。
四、项目实施——1. Quartus Ⅱ原理图设计
完成连线、并重新命名管脚
四、项目实施——1. Quartus Ⅱ原理图设计
(3) 项目编译
分析综合 点击Quartus Ⅱ软件工具条上的快捷按钮 进行分析综合。 引脚分配 完成抢答器的分析综合过程,得到工程的数据库文件以后, 需要给抢答器的输入输出引脚进行引脚分配。 全编译 选择Processing→Start Compilation启动全编译过程。 ,对抢答器工程
教师评价+互评
教师评价+互评
3.操作实施 (30%)
EDA智能四路抢答器设计(框图+vhdl源程序+仿真图)-课程设计
EDA智能四路抢答器设计(框图+vhdl源程序+仿真图)-课程设计EDA智能四路抢答器设计(框图+vhdl源程序+仿真图) 设计目的 1. 进一步了解VHDL语言功能;2. 了解EDA在高频工作下的优势;3. 学习资料的收集与整理,学会撰写课程设计报告。
实验环境 1 微型电子计算机(PC);2. 安装Windows 2000以上操作系统,Maxplus软件等开发工具。
3. EDA综合实验仪,连线若干。
任务要求 1. 按照课题设计任务和要求,对设计系统进行验证调试或仿真;观察程序运行和仿真结果,判断其正确性。
2. 利用课余时间去图书馆或上网查阅课题相关资料,深入理解课题含义及设计要求,注意材料收集与整理;3. 在第15周末之前完成预设计,并请指导教师审查,通过后方可进行下一步工作;4. 结束后,及时提交设计报告(含纸质稿、电子稿),要求格式规范、内容完整、结论正确。
工作进度计划序号起止日期工作内容1 2009.12.14~2009.12.14 在预设计的基础上,进一步查阅资料,完善设计方案.2 2009.12.15~2009.12.16 设计总体方案,编写代码,上机调试,实验仿真验证。
3 2009.12.17~2009.12.17 测试程序,完善功能,撰写设计报告。
42009.12.18 参加答辩,根据教师反馈意见,修改、完善设计报告。
摘要抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。
但是抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。
作为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使抢答器损坏,再购置一台新的就会影响活动的开展,因此设计了本抢答器。
本设计是以四路抢答为基本概念。
从实际应用出发,利用电子设计自动化( EDA)技术,用可编程逻辑器件设计具有扩充功能的抢答器。
它以VHDL硬件描述语言作为平台,结合动手实验而完成的。
它的特点是电路简单、制作方便、操作简单、方便、性能可靠,实用于多种智力竞赛活动。
EDA技术大作业(一)——4路抢答器的设计
EDA技术大作业(一)4路抢答器的设计1.设计要求利用基本逻辑门电路、组合逻辑电路和触发器,设计一个四人抢答器。
要求:1)四个参加者编号为A、B、C和D,对应组号为1~4,每个参加者控制一个按键,用其发出抢答信号。
2)主持人有一个控制按键,用于将系统清零,即数码显示管灯灭,并控制抢答开始。
3)参加者按抢答按钮,蜂鸣器响铃,对应的指示灯亮,同时数码管上显示最先抢答者的组号。
4)电路具有互锁功能,有人优先抢答后系统能自动关闭其他路的输入信号。
2.设计报告要求1)给出设计方案,画出设计电路框图,并对各个功能模块进行简单的描述。
2)在multiSIM 2001下绘制仿真电路图,并进行仿真验证。
提示:采用CMOS器件设计抢答器(也可以采用74LSXXX系列芯片)数码显示抢答器采用CMOS电路制作,由触发器、编译码电路、数码管、LED指示灯和蜂鸣器等组成,数码管用于显示抢答者的组号,电路框图如下图所示。
(1)数码显示抢答器框图(2)参考电路(仅供参考,请自己设计)这里采用CMOS器件4511、4013、4069、4072设计4路抢答器,图中J1~J4为抢答按钮,J5为复位按钮,4013为D触发器,4069为反相器,4072为4输入或门,4511为4线-七段锁存/译码/驱动器。
(3)仿真分析依次按下A、B、C、D键观察数码管显示状态和蜂鸣器的输出状态。
按下复位键R,观察数码管显示状态和蜂鸣器的输出状态。
南京航空航天大学金城学院本科生实验报告课程名称:EDA技术设计题名:4路抢答器的设计学生姓名:XXX班级学号:XXXXX2010年X月X日一、实验题目和要求二、设计框图三、设计电路图要求对电路图进行简单的功能描述四、仿真结果和分析五、对本课程的几点建议附:个人联系方式手机:E-mail:。
四路抢答器EDA
DEA设计(二)——四路抢答器学院:自动化姓名:学号:指导老师:2015年9月28日目录1 实验任务及要求 (1)2 程序流程图 (2)3 电路原理图 (3)4 电路模块 (3)4.1 KEY模块 (4)4.2 KEEP模块 (4)4.3 TIME模块 (5)4.4 STATE模块 (6)4.5 BEEP模块 (7)5 下载程序 (8)5.1 分配引脚 (8)5.2 下载到实验箱 (8)6 实验心得 (9)1 实验任务及要求1.设计用于竞赛抢答的四人抢答器1)有多路抢答,抢答台数为4;2)抢答开始后20秒倒计时,20秒后无人抢答显示超时并报警;3)能显示抢答台号并显示犯规警报;2.系统复位后进入抢答状态,当有一路抢答键按下,该路抢答信号将其他各路抢答信号封锁,同时铃声响起,直至该路按键松开,显示该路抢答台号。
3.用VHDL语言设计符合上述功能要求的四人抢答器,并用层次化设计方法设计该电路。
4.完成电路设计后,通过系统试验箱下载验证设计的正确性。
2 程序流程图图2.1 程序流程图3 电路原理图电路原理图如下:图3.1 电路原理图电路说明:1)抢答状态由一个数码管显示,“F”代表超时,“E”代表犯规,“0”代表正常。
2)倒计时由两个数码管显示,从“20”记到“00”。
3)还有一个数码管用来显示当前抢答号“1”,“2”,“3”,“4”。
4 电路模块本次实验中我主要负责抢答模块的编程,即key模块和keep模块,这两个模块的主要功能是记录无论是正常抢答还是提前抢答者的台号,并且能实现当有一路抢答按键按下时,该路抢答信号将其余的抢答信号封锁的功能。
4.1 KEY模块图4.1 Entity KEY模块功能:抢答队伍共分为四组1,2,3,4。
当主持人按下START键后,四组队伍才可以按抢答键抢答。
当有人按下抢答键且此时keep模块的反馈信号为feedback为“1”时,将相应的输出置“1”,但在主持人未按下START键之前,所有的抢答键按下时,feedback信号为“0”,此时按键是无效的。
四路抢答器EDA课程设计
EDA课程设计报告——四路抢答器一方案设计将该任务分成七个模块进行设计,分别为:抢答器鉴别模块、抢答器计时模块、抢答器记分模块、分频模块、译码模块、数选模块、报警模块,最后是顶层文件。
1.抢答器鉴别模块:在这个模块中主要实现抢答过程中的抢答功能,并能对超前抢答进行警告,还能记录无论是正常抢答还是朝前抢答者的台号,并且能实现当有一路抢答按键按下时,该路抢答信号将其余个绿抢答封锁的功能。
其中有四个抢答信号s0、s1、s2、s3;抢答使能信号s;抢答状态显示信号states;抢答与警报时钟信号clk2;系统复位信号rst;警报信号tmp。
2.抢答器计时模块:在这个模块中主要实现抢答过程中的计时功能,在有抢答开始后进行30秒的倒计时,并且在30秒倒计时后无人抢答显示超时并报警。
其中有抢答时钟信号clk2;系统复位信号rst;抢答使能信号s;抢答状态显示信号states;无人抢答警报信号warn;计时中止信号stop;计时十位和个位信号tb,ta。
3.数据选择模块:在这个模块中主要实现抢答过程中的数据输入功能,输入信号a[3..0]、b[3..0]、c[3..0];计数输出信号s;数据输出信号y;计数脉冲clk2,实现a、b、c按脉冲轮流选通,在数码管上显示。
4.报警模块:在这个模块中主要实现抢答过程中的报警功能,当主持人按下控制键,有限时间内人抢答或是计数到时蜂鸣器开始报警,有效电平输入信号i;状态输出信号q;计数脉冲clk2。
5.译码模块:在这个模块中主要实现抢答过程中将BCD码转换成7段的功能。
6.分频模块:在这个模块中主要实现抢答过程中实现输出双脉冲的功能。
7.顶层文件:在这个模块中是对前七个模块的综合编写的顶层文件。
二单元电路设计(一)抢答模块1.VHDL源程序LIBRARY IEEE;--抢答鉴别模块USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY qdjb ISPORT(rst,clk2:IN STD_LOGIC;s0,s1,s2,s3:IN STD_LOGIC;states:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);tmp:OUT STD_LOGIC);END qdjb;ARCHITECTURE ONE OF qdjb ISSIGNAL ST:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINP1:PROCESS(S0,RST,S1,S2,S3,CLK2)BEGINIF RST='0' THENTMP<='0';ST<="0000";ELSIF CLK2'EVENT AND CLK2='1' THENIF (S0='1' OR ST(0)='1')AND NOT( ST(1)='1' OR ST(2)='1' OR ST(3)='1' ) THEN ST(0)<='1';END IF ;IF (S1='1' OR ST(1)='1')AND NOT( ST(0)='1' OR ST(2)='1' OR ST(3)='1' ) THEN ST(1)<='1';END IF ;IF (S2='1' OR ST(2)='1')AND NOT( ST(0)='1' OR ST(1)='1' OR ST(3)='1' ) THEN ST(2)<='1';END IF ;IF (S3='1' OR ST(3)='1')AND NOT( ST(0)='1' OR ST(1)='1' OR ST(2)='1' ) THEN ST(3)<='1';END IF ;TMP<=S0 OR S1 OR S2 OR S3;END IF ;END PROCESS P1;P2:PROCESS(STATES(0),STATES(1),STATES(2),STATES(3))BEGINIF (ST="0000") THEN STATES<="0000";ELSIF (ST<="0001") THEN STATES<="0001";ELSIF (ST<="0010") THEN STATES<="0010";ELSIF (ST<="0100") THEN STATES<="0011";ELSIF (ST<="1000") THEN STATES<="0100";END IF;END PROCESS P2;END ONE;2.仿真图:3.抢答鉴别模块符号图在这个模块中主要实现抢答过程中的抢答功能,并能对超前抢答进行警告,还能记录无论是正常抢答还是朝前抢答者的台号,并且能实现当有一路抢答按键按下时,该路抢答信号将其余个绿抢答封锁的功能。
EDA智能四路抢答器设计(框图+vhdl源程序+仿真图)-课程设计
EDA智能四路抢答器设计(框图+vhdl源程序+仿真图)-课程设计EDA智能四路抢答器设计(框图+vhdl源程序+仿真图) 设计目的 1. 进一步了解VHDL语言功能;2. 了解EDA在高频工作下的优势;3. 学习资料的收集与整理,学会撰写课程设计报告。
实验环境 1 微型电子计算机(PC);2. 安装Windows 2000以上操作系统,Maxplus软件等开发工具。
3. EDA综合实验仪,连线若干。
任务要求 1. 按照课题设计任务和要求,对设计系统进行验证调试或仿真;观察程序运行和仿真结果,判断其正确性。
2. 利用课余时间去图书馆或上网查阅课题相关资料,深入理解课题含义及设计要求,注意材料收集与整理;3. 在第15周末之前完成预设计,并请指导教师审查,通过后方可进行下一步工作;4. 结束后,及时提交设计报告(含纸质稿、电子稿),要求格式规范、内容完整、结论正确。
工作进度计划序号起止日期工作内容1 2009.12.14~2009.12.14 在预设计的基础上,进一步查阅资料,完善设计方案.2 2009.12.15~2009.12.16 设计总体方案,编写代码,上机调试,实验仿真验证。
3 2009.12.17~2009.12.17 测试程序,完善功能,撰写设计报告。
42009.12.18 参加答辩,根据教师反馈意见,修改、完善设计报告。
摘要抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。
但是抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。
作为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使抢答器损坏,再购置一台新的就会影响活动的开展,因此设计了本抢答器。
本设计是以四路抢答为基本概念。
从实际应用出发,利用电子设计自动化( EDA)技术,用可编程逻辑器件设计具有扩充功能的抢答器。
它以VHDL硬件描述语言作为平台,结合动手实验而完成的。
它的特点是电路简单、制作方便、操作简单、方便、性能可靠,实用于多种智力竞赛活动。
EDA课程设计四路智能抢答器
一、课题简介在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等各种手段批示出第一抢答者。
同时,还可以设置计分、犯规及奖惩记录等各种功能。
本设计的具体要求是:设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。
(2) 电路具有第一抢答信号的鉴别和锁存功能。
(3)系统具有计时功能(4)系统具有计分电路。
二、课题背景数字电路产品在生活中有着极其广泛的应用,包括计算机、数字通信、智能仪器仪表、自动控制及航天等领域中。
这些给人们生活,工作等方面带来了极大的方便。
数字电路设备实现简单,速度和可靠性好。
智力竞赛是一种能锻炼人的头脑开发人的IQ的一种大众化游戏,也起到娱乐的作用。
现在智力竞赛越来越被多数人喜爱和娱乐,而且国内外各地电视台、工厂、学校等单位也会常常举办类似的智力竞赛活动,然而智力竞赛抢答器是必要设备。
在有些地方举行的各种智力竞赛游戏中我们经常看到有抢答的环节,举办方大多数采用让选手通过举答题板的方法或者是举手的方式判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。
所以,我们就需要一种具备自动锁存,置位,清零等功能智能抢答器来解决这些问题。
三、课题在国内外的研究现状抢答器一般分为电子抢答器和电脑抢答器。
电子抢答器的中心构造一般都是由抢答器由数字电子集成电路组成,其搭配的配件不同又分为,非语音非记分抢答器和语音记分抢答器。
非语音记分抢答器构造很简单,就是一个抢答器的主机和一个抢答按钮组成,在抢答过程中选手是没有记分的显示屏。
语音记分抢答器是由一个抢答器的主机、主机的显示屏以及选手的记分显示屏等构成,具有记分等功能。
电子抢答器多适用于学校和企事业单位举行的简单的抢答活动。
电脑抢答器又分为无线电脑抢答器和有线电脑抢答器。
无线电脑抢答器的构成是由:主机和抢答器专用的软件和无线按钮。
无线电脑抢答器利用电脑和投影仪,可以把抢答气氛活跃起来,一般多使用于电台等大型的活动。
(完整)EDA课程设计——数字抢答器
数字式竞赛抢答器设计要求1、设计一个可容纳4组参赛的数字式抢答器,每组设一个按钮,供抢答使用.2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。
3、设置一个主持人“复位”按钮。
4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出 2~3 秒的音响。
5、设置一个计分电路,每组开始预置 100 分,由主持人记分,答对一次加 10 分,答错一次减 10 分。
1、总体方案设计原理及分析1.1、方案原理按照设计要求,设计一个4组参赛的抢答器,所以这种抢答器要求有四路不同组别的抢答输入信号,并能识别最先抢答的信号,直观地通过数码显示和蜂鸣报警等方式提示主持人并显示出组别;同时该系统还应有复位功能。
主持人按下系统复位键(RST),系统进入抢答状态,计分模块输出初始信号给数码显示模块并显示出初始值。
当某参赛组抢先将抢答键按下时,系统将其余三路抢答信号封锁,同时扬声器发出2-3秒的声音提示,组别显示模块送出信号给数码显示模块,从而显示出该抢答成功组台号,并一直保持到下一轮主持人将系统清零为止。
主持人对抢答结果进行确认,随后,计时模块送出倒计时计数允许信号,开始回答问题,计时显示器则从初始值开始以计时,在规定的时间内根据答题的正误来确定加分或减分,并通过数码显示模块将成绩显示出来。
计时至0时,停止计时,扬声器发出超时报警信号,以中止未回答完问题。
当主持人给出倒计时停止信号时,扬声器停止鸣叫.若参赛者在规定时间内回答完为题,主持人可给出倒计时计数停止信号,以免扬声器鸣叫。
主持人按下复位键,即RST 为高电平有效状态,清除前一次的抢答组别,又可开始新的一轮的抢答。
此抢答器的设计中采用自顶向下的设计思路,运用VHDL 硬件描述语言对各个模块进行层次化、系统化的描述,并且先设计一个顶层文件,再把各个模块连接起来。
1。
2、方案设计框图主电路 扩展功能电路图1 方案系统结构图1.3、方案各路功能分析此方案是由主体电路和扩展电路两部分构成,整个系统包括这样几个主要模块:抢答鉴别模块、抢答计时模块、抢答计分模块、译码显示模块、报警模块。
EDA课程设计教程---四路抢答器
前言“数字逻辑”是我院计算机科学与技术专业及自动化专业最重要的专业基础课之一。
随着电子技术的高速发展,数字技术也可谓是一日千里。
尤其是九十年代迅速发展起来的在系统可编程技术ISP,更使数字系统的设计方法发生本质性、革命性变化。
为了适应数字技术的飞速发展,培养出在二十一世纪具有竞争力的计算机及自动化的高等人才,采用可编程技术及可编程器件进行数字电路及系统的设计势在必行。
为此,我院“数字逻辑”实验设备更新为具有当前国内先进水平的EDA实验开发系统。
EDA(Electronic Des ign Automation)即指电子设计自动化。
EDA实验开发系统是一种多功能、高配置、高品质的EDA教学与开发设备。
该系统由于采用可编程CPLD器件及独特的设计技术,从而使学生的实验从传统的硬件连接方式进入到软件设计、仿真调试系统的实验方式,并使传统的硬件设计方式用EDA技术实现。
这与用连线搭接电路的实验方式相比,不仅故障率大大降低,而且节省了时间、提高了实验效率。
同时,也使学生获得的知识紧跟国际数字技术的先进水平。
EDA实验教程是为适应数字逻辑课程的教学改革而编写的。
考虑到现代教育不仅使学生通过实验能够掌握所学理论知识,而且有利于激发和培养学生的创新意识和创造能力,该教程结合实验室已更新的EDA实验开发系统,为学生提供了一些以设计性和综合性实验为主的、具有任意可选性的基础实验课题;同时也为数字逻辑课程设计提供了一些即具有实用价值、趣味性又较强的数字系统设计项目。
该教程适用于“数字逻辑”课程教学实验和课程设计。
因时间短促,还存在很多不足及错误,敬请各位老师及同学指正。
“数字逻辑”课程组2008年10月目录前言第一章MAX+PLUSⅡ基本操作 (3)1.1 设计环境与设计方法 (3)1.1.1 系统配置与安装 (3)1.1.2 MAX+PLUSⅡ操作环境 (5)1.1.3 MAX+PLUSⅡ的设计方法 (6)1.2 设计输入 (8)1.2.1 建立图形设计文件 (8)1.2.2建立文本设计文件(VHDL) (14)1.2.3 VHDL语言和原理图混合输入方式 (16)1.3 设计项目的编译 (16)1.4 设计校验 (23)1.4.1 仿真 (23)1.4.2 定时分析 (26)1.5 器件编程 (28)第二章数字逻辑基础实验 (30)2.1 交通控制灯监视电路 (30)2.2 五人表决器 (30)2.3 逻辑运算电路 (31)2.4 3:8线译码器 (31)2.5 逻辑函数发生器 (32)2.6 4位二进制数∕BCD码变换器 (32)2.7 格雷码变换器 (33)2.8 BCD码加法器 (33)2.9 四位并行乘法器 (33)2.10 BCD∕七段数字显示译码器 (34)2.11 基本触发器 (35)2.12 同步十进制计数器 (35)2.13 动态扫描数码显示器 (36)2.14 双向移位寄存器 (37)2.15 环形计数器与扭环计数器 (37)2.16 二十四进制计数器 (38)第三章数字系统综合设计 (40)3.1 多功能数字电子钟 (40)3.2 数字密码锁电路 (42)3.3 8位数字频率计 (42)3.4 等精度数字频率计 (44)3.5 快速加法器 (46)3.6 移位乘法器 (46)3.7 篮球30秒定时控制电路 (48)3.8 交通灯控制电路 (48)3.9 序列检测器 (49)3.10 出租车计费器 (50)3.11 智力竞赛抢答器 (51)3.12 模拟乒乓球游戏机 (52)附表SE-5M(EPF10K10)引脚对应表 (54)第一章MAX+PLUSⅡ基本操作本章介绍Altera公司的CPLD的开发工具软件MAX+PLUSⅡ。
四路抢答器课程设计
四路抢答器课程设计一、课程目标知识目标:1. 学生理解并掌握四路抢答器的基本工作原理;2. 学生能够描述四路抢答器的电路组成及其功能;3. 学生掌握数字电路中基本逻辑门的使用,并了解其在抢答器中的应用。
技能目标:1. 学生能够独立完成四路抢答器的电路搭建;2. 学生能够通过实际操作,解决抢答器电路中出现的问题;3. 学生能够运用所学知识,设计简单的数字电路。
情感态度价值观目标:1. 学生培养对电子技术的兴趣,提高学习主动性和积极性;2. 学生在团队合作中,学会相互尊重、沟通与协作;3. 学生在课程学习过程中,树立正确的价值观,认识到科技发展对国家和社会的重要性。
课程性质:本课程属于电子技术领域,结合物理知识与实际操作,提高学生的动手能力和解决问题的能力。
学生特点:初三学生,具备一定的物理知识和动手能力,对电子技术有一定的好奇心。
教学要求:结合学生特点,注重理论与实践相结合,鼓励学生动手实践,提高学生的创新意识和团队协作能力。
通过课程目标的分解,将预期学习成果具体化,便于后续教学设计和评估。
二、教学内容1. 数字电路基础知识- 逻辑门原理及种类(与门、或门、非门等)- 四路抢答器电路原理2. 四路抢答器电路组成- 抢答信号发生器- 逻辑判断电路- 锁定电路- 指示灯电路3. 电路搭建与调试- 数字电路元件的识别与使用- 四路抢答器电路搭建方法- 电路调试技巧及故障排查4. 教学大纲- 第一章:数字电路基础知识(1课时)- 第二章:四路抢答器电路组成(1课时)- 第三章:电路搭建与调试(2课时)5. 教材关联- 《电子技术基础》第四章:数字电路基础- 《电子技术基础》第五章:数字电路应用实例教学内容安排和进度:第一周:数字电路基础知识学习,了解逻辑门原理及种类;第二周:学习四路抢答器电路组成,分析各部分功能;第三周:动手搭建四路抢答器电路,进行调试与故障排查;第四周:巩固所学知识,开展小组合作,设计并展示创新性数字电路作品。
EDA课程设计-4路抢答器
系别:电子工程系专业:电子信息工程技术班级:电信092班姓名:xxxxxxxx合作者:xxxxxxx学号:xxxxxxxxxxxx指导老师:xxxxx日期:2011年6月11日评分标准:1、考勤(10分)..........................................................( )分;2、纪律(10分)..........................................................( )分;3、完成设计所用的时间(20分)....................................( )分;4、课设答辩(50分)..................................................( )分;5、课设报告(10分)..................................................( )分;总分.....................( )分。
目录1、设计题目..................................................(第3页)2、设计目的..................................................(第3页)3、设计任务和要求.......................................(第3页)4、设计思路..................................................(第3页)5、设计内容..................................................(第3页)6、实验设备..................................................(第4页)7、程序清单..................................................(第4页)8、仿真图和锁引脚图..................................(第5页)9、设计心得..................................................(第6页)10、参考资料..................................................(第7页)一、设计题目:四路抢答器二、设计目的:《EDA课程设计》是继《模拟电子技术基础》、《数字电子技术基础》、《电子技术基础实验》课程后,电气类、自控类和电子类等专业学生在电子技术实验技能方面综合性质的实验训练课程,是电子技术基础的一个部分,其目的是通过一周的时间,让学生掌握EDA的基本方法,熟悉一种EDA软件(Quarrus II 6.0),并能利用EDA 软件设计一个电子技术综合问题,并在实验板上成功下载,为以后进行工程实际问题的研究打下设计基础。
EDA课程设计--四人智力竞赛计数抢答器
显示程序需要实现倒计时功能,倒 计时结束后,抢答器停止工作,并 显示得分。
声音报警程序
功能:当抢答器检测到有人抢答时,发出声音报警 设计思路:使用声音播放模块,当检测到有人抢答时,播放报警声音 实现方法:使用Python的pygame库,实现声音播放功能 注意事项:确保声音报警声音足够响亮,能够引起注意,同时避免声音过大导致干扰其他设备
兼容性测试:验证计数抢答器 在不同硬件和软件环境下的兼
容性
用户体验测试:评估用户界面 和操作流程的友好性和易用性
测试结果分析
测试环境:实 验室环境
测试设备:四 人智力竞赛计
数抢答器
测试方法:手 动测试和自动
测试
测试结果:抢 答器功能正常, 计数准确,响
应速度快
改进与优化
硬件优化方案
采用模块化设计,提高系统的稳定性和可维护性 优化电路布局,减少电磁干扰和信号损失 选用低功耗、高可靠性的元器件,降低系统功耗和故障率 增加散热措施,提高系统的散热效率和稳定性
软件调试
调试工具:使用调试器进行代 码调试
调试方法:单步调试、断点调 试、条件调试等
调试技巧:观察变量值、查看 堆栈信息、分析错误日志等
调试目标:发现并修复软件中 的错误和漏洞,提高软件稳定 性和性能。
整体测试
性能测试:测试计数抢答器 的响应速度和稳定性
功能测试:验证计数抢答器 的基本功能是否正常
的编号。
如果有多个参赛者同时按下按 钮,抢答器会显示错误信息,
重新开始计时。
抢答器的应用场景
学校课堂:用于课堂问答、知识竞 赛等活动
综艺节目:用于电视节目、网络直 播等活动
添加标题
添加标题
添加标题
EDA课程设计--智能竞赛抢答器
唐山学院《EDA技术》课程设计题目系 (部)班级姓名学号指导教师15 年 7 月 5 日至 7 月 10 日共 1 周2015年 7 月 9 日《EDA技术》课程设计任务书目录1 引言 (1)2 VHDL介绍 (2)3 应用软件Quartus II (3)4智力竞赛抢答器设计 (4)4.1设计的目的 (4)4.2设计的基本内容 (4)5总体设计思路及分析 (5)5.1功能分析 (5)5.2 整体设计思想 (5)5.3分频模块设计 (6)5.4复位模块设计 (6)5.5抢答模块设计 (7)5.6倒计时模块设计 (8)5.7硬件下载驱动 (11)6心得体会 (12)参考文献 (13)附录一 (14)附录二 (20)1 引言EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。
在当今时代,现代电子产品几乎渗透了社会的各个领域,EDA技术是电子设计的发展趋势,EDA工具从数字系统设计的单一领域开始,应用范围已涉及模拟、微波等多个领域,可实现各个领域电子系统设计的测试、设计仿真和布局布线等。
设计者只要完成对电子系统的功能描述,就可利用计算机和EDA工具,进行设计处理,最终得到设计结果。
随着大规模集成电路技术和计算机技术的不断发展,即便是在普通的电子产品的开发方面,EDA技术常常使一些原来的技术瓶颈得以轻松突破,从而使产品的开发周期大为缩短、性价比大幅度提高。
不言而喻,EDA技术将迅速成为电子设计领域中的极其重要的组成部分。
从目前的EDA技术来看,其发展趋势是政府重视、使用普及、应用广泛、工具多样、软件功能强大的一种技术。
在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。
EDA课设智力竞赛抢答器设计
1 引言无论是在学校、工厂、军队还是益智性电视节目, 都会举办各种各样的智力竞赛, 都会用到抢答器。
目前市场上已有各种各样的智力竞赛抢答器, 但绝大多数是早期设计的, 以模拟电路、数字电路或者模拟电路与数字电路相结合的产品。
这部分抢答器已相当成熟, 但功能越多的电路相对来说就越复杂, 且成本偏高, 故障高, 显示方式简单(有的甚至没有显示电路), 无法判断提前抢按按钮的行为, 不便于电路升级换代。
本设计就是基于VHDL设计的一个智力竞赛抢答器尽量使竞赛真正达到公正、公平、公开。
基于EDA技术设计的电子抢答器,以其价格便宜、安全可靠、使用方便而受到了人们的普遍欢迎。
本文以现场可编程逻辑器件(FPGA)为设计载体,以硬件描述语言VHDL为主要表达方式,以QuartusⅡ开发软件设计的电子抢答器,具有抢答鉴别与锁存功能以及10秒答题限时功能。
EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。
利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析范畴用得很宽。
包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。
现在对EDA的概念或事等各个领域,都有EDA的应用。
目前EDA 技术已在各大公司、企事业单位和科研教学部门广泛使用。
例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。
本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。
EDA 设计可分为系统级、电路级和物理实现级。
1.1 设计的目的本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA技术,了解并掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,学习VHDL基本单元电路的综合设计应用。
EDA课程设计--VHDL四路智力抢答器
VHDL 四路智力抢答器EDA 课程设计一、任务与目的1.1熟练掌握EDA 工具软件Quartus 的使用1.2 熟悉使用VHDL 硬件描述语言描述数字电路1.3 学会使用VHDL 进行大规模集成电路设计1.4 学习使用CPLD/FPGA 实验系统硬件验证电路设计的正确性1.5 初步掌握EDA 技术并具备一定的可编程逻辑芯片的开发能力二、设计题目与要求2.1 设计题目四路智力竞赛抢答器2.2 设计要求1. 按所布置的题目要求,每一位学生独立完成全过程2. 分模块层次化的设计;3. 各功能模块的底层文件必须用VHDL 语言设计,顶层文件可以用VHDL 语言设计,也可以用原理图设计。
2.3 设计内容设计一个可容纳四组参赛者同时抢答的数字抢答器。
主持人可控制系统发的清零和抢答的开始,控制电路可实现最快抢答选手按键抢答的判别和锁定功能,并禁止后续其他选手抢答。
抢答选手确定后给出选手编号的显示,抢答选手的编号显示保持到系统被清零为止,若提前抢答则对相应的抢答组发出警告。
*扩展内容:增加答题限时的功能,在确定了抢答成功有效后,有主持人按下答题限时功能键,开始9s 的倒计时。
在计时结束后,超时提示LED 闪烁。
在主持人按下clear 开关时限时复位。
三、功能分析四路智力竞赛抢答器按功能设计分为三个模块1. 抢答锁存模块,在主持人发出抢答指令后(start=''),若有参赛者按下抢答按钮,则显示器显示抢答组别,同时电路处于自锁状态,使其它组的抢答器不起作用。
主持人可以用清零按钮进行清零(Clr= '0').若抢答指令未发出(Start='0'),而有参赛者按下抢答按钮,则发出警告信号(alm=1).2. 显示功能模块,在参赛者抢答信号(组别)发出后,对参赛者信号进行译码,然后用7 段数码管显示出来。
3. 计时模块,在确定了抢答成功有效后,有主持人按下答题限时功能键,开始9s 的倒计时。
EDA课程设计四路抢答器
目录第一章 EDA技术简介 (2)第二章抢答器的设计要求 (2)第三章抢答器的设计分析 (3)第四章抢答器的抢答鉴别模块 (4)4.1抢答鉴别的功能 (4)4.2抢答鉴别模块的源程序 (4)4.3抢答鉴别模块的时序仿真图 (7)第五章抢答器的计时模块 (8)5.1计时模块的功能 (8)5.2计时模块的源程序 (8)5.3计时模块的时序仿真图 (11)第六章抢答器的计分模块 (12)6.1计分模块的功能 (12)6.2计分模块的源程序 (12)6.3计分模块的时序仿真图 (15)第七章抢答器的译码显示模块 (16)7.1译码显示模块的功能 (16)7.2译码显示模块的源程序 (16)7.3译码显示模块的时序仿真图 (17)第八章抢答器的其他功能模块 (18)8.1其他功能模块的具体信息 (18)第九章抢答器的顶层原理图设计 (19)9.1顶层原理图的源文件 (20)9.2顶层设计的时序仿真图 (21)第十章抢答器的硬件测试 (22)10.1抢答器的引脚绑定 (22)10.2抢答器的测试结果 (22)第十一章课程设计的总结 (23)参考文献 (23)1第一章 EDA技术简介数字抢答器控制系统在现今许多工厂、学校和电视台等单位所举办的各种知识竞赛中起着不可替代的作用。
基于EDA技术设计的电子抢答器,以其价格便宜、安全可靠、使用方便而受到了人们的普遍欢迎。
本文以现场可编程逻辑器件(FPGA)为设计载体,以硬件描述语言VHDL为主要表达方式,以OuartusⅡ开发软件和GW48EDA开发系统为设计工具设计的电子抢答器,具有抢答鉴别与锁存功能以及60秒答题限时功能、对抢答犯规的小组进行警告和对各抢答小组进行相应的成绩加减操作等功能。
第二章抢答器的设计要求1 电子抢答器的功能该电子抢答器实现的功能主要包括四项操作:(1)第一抢答信号的鉴别和锁存该电子抢答器共设4个组别,每组控制一个抢答开关,分别为a,b,c,d。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
抢答器设计步骤-计数模块:
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity JS is port(clk1,rst,start,stop:in std_logic; ta,tb:buffer std_logic_vector(3 downto 0)); end JS; architecture one of JS is signal co:std_logic; begin p1:process(clk1,rst,start,stop,ta) begin if rst='0' or stop='1' then ta<="0000"; elsif clk1'event and clk1='1' then co<='0'; if start='1' then if ta="0000" then ta<="1001";co<='1'; else ta<=ta-1; end if; end if; end if; end process p1; p2:process(co,rst,start,stop,tb) begin if rst='0' or stop='1' then tb<="0010"; elsif co'event and co='1' then if start='1' then if tb="0000" then tb<="0001"; else tb<=tb-1; end if; end if; end if; end process p2; end one ;
报警模块功能介绍:
在这个模块中主要实现抢答过程中的报警功能,当主 持人按下控制键,有限时间内有人抢答或是计数到时 蜂鸣器开始报警,计数停止信号stop;状态输出信号 alm;计数脉冲clk。
抢答器设计步骤-数码管显示模块1 (扫描 ):
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity scan is port(clk : in std_logic; count1,count2,count3 : in std_logic_vector(3 downto 0); s : out std_logic_vector(1 downto 0); dout : out std_logic_vector(3 downto 0)); end entity; architecture art of scan is signal temp :std_logic_vector(1 downto 0); begin process(clk) begin if(clk'event and clk='1')then s<=temp; case temp is
when "00" => dout<=count1; when "01" => dout<=count2; when "10" => dout<=count3; when others => dout<="XXXX"; end case; temp<=temp+1; if(temp="10") then temp<="00"; end if; end if; end process; end architecture;
EDA课程设计 ——四路抢答器 EDA课程设计 ——四路抢答器
设计成员:张尧 王涛 王少泽 曹忠林
课程设计具体要求:
设计一个四路智能抢答器,要具有如下功能: 1)抢答器同时供4名选手使用,分别用4个按钮S0~S3表示。 2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编 号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手 抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系 统清除为止。 4)抢答器具有定时抢答功能,抢答时间为20秒。当主持人启动 “开始”键后,按秒进行倒计时,并有倒计时提示音。 5)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁 止抢答,定时显示器上显示00。
译码电路位码:
Library ieee; use ieee.std_logic_1164.all; entity ymq is port(bcd:in std_logic_vector(3 downto 0); dout:out std_logic_vector(6 downto 0)); end ymq; architecture at1 of ymq is begin process(bcd) begin case bcd is when"0000"=>dout<="0111111"; when"0001"=>dout<="0000110"; when "0010"=>dout<="1011011"; when "0011"=>dout<="1001111"; when"0100"=>dout<="1100110"; when "0101"=>dout<="1101101"; when "0110"=>dout<="1111101"; when "0111"=>dout<="0000111"; when "1000"=>dout<="1111111"; when"1001"=>dout<="1110011"; when others=>dout<="1111111"; end case; end process; end at1;
:
if (s2='1' or st(2)='1')and not( st(0)='1' or st(1)='1' or st(3)='1' ) then st(2)<='1'; end if ; if (s3='1' or st(3)='1')and not( st(0)='1' or st(1)='1' or st(2)='1' ) then st(3)<='1'; end if ; warn<=st(0) or st(1) or st(2) or st(3); end if ; end process p1; p2:process(states(0),states(1),states(2),states(3),light) begin if (st="0000") then states<="0000"; elsif (st<="0001") then states<="0001"; elsif (st<="0010") then states<="0010"; elsif (st<="0100") then states<="0011"; elsif (st<="1000") then states<="0100"; end if; light<=states; end process p2; end one;
抢答器设计步骤-报警模块 :
library ieee; use ieee.std_logic_1164.all; entity baojin is port(rst:in std_logic; warn:in std_logic; clk:in std_logic; ta,tb:in integer range 0 to 9; stop:in std_logic; alm:out std_logic ); end; architecture bhv of baojin is begin process(warn,ta,tb,stop,clk,rst) begin if warn='1'then alm<=clk; elsif rst='0' then alm<='0'; elsif stop='1'then alm<='0'; elsif ta=0 and tb=0 then alm<=clk; else alm<='0'; end if; end process; end;
计数模块功能介绍:
在这个模块中主要实现抢答过程中的计时功能,在有 抢答开始后进行20秒的倒计时,并且在20秒倒计时后 无人抢答显示超时并报警。其中有抢答时钟信号clk1; 系统复位信号rst;抢答使能信号start;无人抢答警报信 号warn;计时中止信号stop;计时十位和个位信号tb, ta。
设计流程图: