方波信号发生器设计
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
方波信号发生器设计
一.实验目的:
1.进一步熟悉QuartusII及其LPM_ROM与FPGA硬件资源的使用方法。
2.加深对电路理论概念的理解
3.进一步熟悉常用仪器的使用及调试
4.加深计算机辅助分析及设计的概念
5.了解及初步掌握对电路进行计算机辅助分析的过程
6.培养学生发现问题、分析问题的创新能力
7.锻炼学生搜集资料、分析综合资料的能力
二.实验原理:
方波波信号发生器由四部分组成:
计数器或地址发生器(这里选择6位)。
方波信号数据ROM(6位地址线、8位数据线),含有64个8位数据(一个周期)。VHDL顶层设计。
8位D/A(实验中用DAC0832代替)。
图1所示的信号发生器结构框图中,顶层文件singt.vhd在FPGA中实现,包含两个部分:ROM的地址信号发生器,由6位计数器担任;一个方波数据ROM,由LPM_ROM模块构成。LPM_ROM底层是FPGA中的EAB、ESB或M4K等模块。地址发生器的时钟CLK的输入频率f0与每周期的波形数据点数(在此选择64点),以及D/A输出的频率f的关系是:f = f0/64。
图1 方波信号发生器结构框图
三.实验内容:
1.新建一个文件夹。利用资源管理器,新建一个文件夹,如:e : \SIN_GNT 。注意,文件夹
名不能用中文。
2.输入源程序。打开QuartusII,选择菜单“File”“New”,在New 窗中的“Device Design Files”
中选择编译文件的语言类型,这里选“VHDL Files”。然后在VHDL 文本编译窗中键入VHDL 程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity square is
port(clk,clr:in std_logic;
q:out integer range 0 to 255
);
end entity;
architecture behav of square is
signal a:bit;
begin
process(clk,clr) --计数分频
variable cnt:integer range 0 to 32; begin
if(clr='0') then
a<='0';
elsif clk'event and clk='1' then
if cnt<31 then --进行32分频
cnt:=cnt+1;
else
cnt:=0;
a<=not a;
end if;
end if;
end process;
process(clk,a) --信号输出
begin
if clk'event and clk='1' then
if a='1' then
q<=255;
else
q<=0;
end if;
end if;
end process;
end behav;
3. 建立.mif格式文件
首先选择ROM 数据文件编辑窗,即在File 菜单中选择“New”,并在New 窗中选择“Other files”项,并“Memory Initialization File”(图3-11),点击OK 后产生ROM 数据文件大小选择窗。这里采用64 点8位数据的情况,可选ROM 的数据数Number 为64,数据宽Word size 取8 位。点击“OK”,将出现空的mif数据表格,表格中的数据为10 进制表达方式,任一数据(如第三行的99)对应的地址为左列于顶行数之和。将波形数据填入此表中,完成后在File 菜单中点击“Save as”,保存此数据文件,在这里不妨取名为romd.mif
4.定制ROM元件
利用Mega Wizard Plug-In Manager 定制方波数据ROM 步骤如下:
设置Mega Wizard Plug-In Manager 初始对话框。在Tools 菜单中选择“Mega Wizard Plug-In Manager”,产生图3-14的界面,选择“Create a new custom…”项,即定制一个新的模块。点击“Next”后,产生图3-15 对话框,在左栏选择“Storage”项下的LPM_ROM,再选“Cyclone”器件和VHDL 语言方式,最后键入ROM 文件存放的路径和文件名,点击“Next”。
5.创建.bdf文件,连接引脚,如图:
6.编译
7.仿真
(1)打开波形编辑器。选择菜单File 中的New 项,在New 窗中选“Other Files”中的“Vector Waveform File”,点击OK,即出现空白的波形编辑器(图3-21)。
(2)设置仿真时间区域。为了使仿真时间轴设置在一个合理的时间区域上,在Edit 菜单中选择“End Time”项,在弹出的窗中的“Time”窗中键入100,单位选“ms”,即整个仿真域的时间即设定为100毫秒,点击OK,结束设置。
(3)存盘波形文件。选择File 中的“Save as”。
(4)编辑输入波形(输入激励信号)。点击时钟名CLK,使之变兰色,再点击左列的时钟设置键,在Clock 窗中设置CLK 的周期为10ns(图3-22);所示的Clock 窗中的“Duty