陈冲EDA课程设计_任意波形信号发生器

合集下载

EDA课程设计--任意波形发生器

EDA课程设计--任意波形发生器

EDA课程设计--任意波形发生器EDA大作业学院:电子信息学院专业:通信专业102班姓名:许文博学号:41003030210EDA技术概述EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。

EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。

典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。

综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。

综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。

也就是说,综合器是软件描述与硬件实现的一座桥梁。

综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD相映射的网表文件。

任意波形信号发生器的概述随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作用。

采用EDA技术利用MAX+PLUSII软件平台,设计的多功能波形发生器系统,大大简化其结构,降低成本,提高了系统的可靠性和灵活性。

设计中运用计数器,数据选择器,对所需的频率进行选择和同步。

使用宏功能模块存储波形。

然后多波形进行幅度的选择。

产生满足需要的不用频率和幅度的波形。

任意波形产生器构成:上图为任意波形发生器的构成图,sel为控制波形输出。

Kk为分频模块,与k步长调整波形输出的频率。

输出8位数字信号经过D/A转换输出负波形,再经过1:1比例反向放大器输出正向波形,施密特触发电路输出方波然后经测频模块由数码管显示出频率。

EDA毕业论文(设计)--基于FPGA的波形发生器设计 光学测试课程设计 模版+附件(程序,图片等)

EDA毕业论文(设计)--基于FPGA的波形发生器设计 光学测试课程设计 模版+附件(程序,图片等)

摘要各种各样的信号是通信领域的重要组成部分,其中正弦波、三角波和方波等是较为常见的信号。

在科学研究及教学实验中常常需要这几种信号的发生装置。

为了实验、研究方便,研制一种灵活适用、功能齐全、使用方便的信号源是十分必要的。

本次关于产生三角波或其它任意波形的设计方案,不仅在理论和实践上都能满足实验的要求,而且具有很强的可行性。

该信号源的特点是:体积小、价格低廉、性能稳定、实现方便、功能齐全。

关键词:正弦波;三角波;FPGA;ABSTRACTVarious signal is an important part of telecommunication field, including sine wave, triangle wave and square-wave etc is more common signal. In scientific research and teaching experiment often need this several signal generator. In order to test, research is convenient, develop a flexible application, complete functions, use convenient source is very necessary.This about produce triangle wave andotner different kinds of waves of design scheme, not only in theory and in practice can satisfy experiment requirement, and has a strong feasibility. The signal features are: small volume, price cheap and stable performance and achieve convenient, complete function.Keywords: sine wave;Triangle wave;FPGA;目录摘要 01.前言 (2)2.FPGA工作原理 (3)3.FPGA基本特点 (4)4.系统设计 (5)4.1设计要求 (5)4.2总体设计方案 (5)4.2.1方案比较 (5)4.2.2系统组成及工作原理 (6)5.单元电路设计 (8)5.1输入模块设计 (8)5.2波形发生模块的设计 (8)5.3关于D/A转换模块的设计 (9)5.4滤波电路模块的设计 (10)6.软件设计与仿真 (11)6.1软件设计思路 (11)6.2系统仿真 (11)7.系统测试 (13)7.1测试使用的仪器 (13)7.2测试方法 (13)7.3指标测试和测试结果 (13)8.设计总结 (14)参考文献 (15)附录 (16)1.前言波形发生器是信号源的一种,它是具有信号源所具有的特点,更因它高的性能优势而备受人们青睐。

EDA课程设计_多功能波形信号发生器

EDA课程设计_多功能波形信号发生器

目录摘要 (1)一、设计要求 (3)三、系统设计思路 (4)3.1 波形函数发生装置的选择 (4)3.2 波形输入输出控制方式的选择 (5)四、各模块设计及仿真 (6)4.1函数发生模块 (6)4.1.1 正弦波模块 (6)4.1.2 方波模块 (7)4.1.3 递增锯齿波模块 (9)4.1.4 递减锯齿波模块 (10)4.1.5 阶梯波模块 (12)4.1.6 三角波模块 (13)4.2调控模块 (15)4.2.1波形输出控制单元 (15)4.2.2波形输入控制单元 (16)4.2.3频率控制单元 (18)4.2.4幅度控制单元 (20)4.3 D/A转换器 (21)4.4 总电路 (24)五、硬件测试 (25)5.1编译 (25)5.2 引脚的锁定 (26)5.3编程下载 (27)5.4 硬件验证 (28)六、课程设计心得体会 (31)参考文献 (32)附录 (33)摘要本次设计课题为应用VHDL语言及MAX+PLUSII软件提供的原理图输入设计功能,组合电子线路的设计加以完成一个任意波形信号发生器。

它具有结构紧凑,性能稳定,设计结构灵活,方便进行多功能组成的特点,经济实用,成本低廉的特点。

可产生正弦波、方波、三角波、递增锯齿波、递减锯齿波以及阶梯波,并可使用示波器观察波形。

实现了系统信号实时快速测量,也为其广泛应用于实际领域创造了条件。

在实现过程中,将整体功能模块化,分为函数发生模块和调控模块。

在调控模块中实现了调频调幅以及对于波形的输入输出控制。

对于D/A转化器,本实验选择的是TLC7528,利用简单的8进制计数控制CS和WR端口的同步输出,实现数模转换的同时,保持相应位的同步实现。

在课程设计中遇到了诸多困难,在用示波器显示波形时,却总是得不到稳定的波形,后来发现在输入控制中,仅需要3位二进制数即能完成简单的8进制计数,自己却习惯性的用了8位,这使得分频现象严重,更改后即得到了了稳定的输出波形,经过反复修改调试,最终试验成功。

eda课程信号发生器课程设计

eda课程信号发生器课程设计

eda课程信号发生器课程设计一、课程目标知识目标:1. 学生能理解EDA课程中信号发生器的原理与功能,掌握相关电子元件的工作特性。

2. 学生能够掌握信号发生器的分类、特点及应用场景,了解各类信号发生器的优缺点。

3. 学生能够运用所学知识,分析并设计简单的信号发生器电路。

技能目标:1. 学生能够熟练运用EDA软件进行信号发生器电路的设计、仿真与调试。

2. 学生能够独立完成信号发生器的硬件搭建,并进行基本的性能测试。

3. 学生能够通过实际操作,提高动手实践能力,培养解决实际问题的能力。

情感态度价值观目标:1. 学生能够培养对电子工程的兴趣,激发创新意识,形成主动学习的习惯。

2. 学生能够培养团队协作精神,学会与他人沟通交流,共同解决问题。

3. 学生能够认识到信号发生器在现代社会中的重要作用,增强社会责任感和使命感。

本课程针对高年级学生,在分析课程性质、学生特点和教学要求的基础上,明确以上课程目标。

通过分解目标为具体的学习成果,使学生在掌握专业知识的同时,提高实践操作能力和团队协作能力,培养良好的情感态度价值观。

为后续的教学设计和评估提供明确的方向。

二、教学内容本章节教学内容依据课程目标,紧密结合教材,确保科学性和系统性。

主要内容包括:1. 信号发生器原理与分类:讲解信号发生器的基本原理、功能及分类,重点介绍函数发生器、脉冲发生器等常见类型的工作原理及应用。

2. 电子元件特性分析:分析常用电子元件(如运放、晶体管、二极管等)在信号发生器中的作用,掌握其工作特性。

3. 信号发生器电路设计:根据实际需求,设计不同类型的信号发生器电路,分析电路性能,优化设计方案。

4. EDA软件应用:教授学生如何使用EDA软件进行信号发生器电路的设计、仿真与调试,提高实际操作能力。

5. 硬件搭建与性能测试:指导学生搭建信号发生器硬件电路,进行基本性能测试,分析测试结果,找出问题并解决。

教学内容安排如下:1. 第1周:信号发生器原理与分类,电子元件特性分析。

EDA课程设计基于FPGA的任意波形发生器

EDA课程设计基于FPGA的任意波形发生器

EDA课程设计__基于FPGA的任意波形发生器学院:通信与电子工程学院摘要本文要紧探讨了应用FPGA灵活可重复编程和方便在系统重构的特性,以Verilog HDL为设计语言,运用QuarrtusII软件,将硬件功能以软件设计来描述,提高了产品的集成度,缩短开发周期。

所设计的波形发生器可产生正弦波(sina_wave)、锯齿波(swat_wave)、矩形波(squr_wave)、三角波(trig_wave)四种信号,能够实现信号的转换而且频率可调;关键字:任意波形发生器 FPGA Verilog HDL QuartusIIAbstractThis paper explored the application of flexible and reprogrammable FPGA and convenience features in the system reconfiguration to Verilog HDL design language, the hardware functions to software design to describe and improve the integration of products and shorten the development cycle. Waveform generator designed to produce sine wave (sina_wave), ramp (swat_wave), rectangular wave (squr_wave), triangular wave (trig_wave) four signals, to achieve signal conversion and frequency adjustable;Keywords: Arbitrary Waveform Generator FPGA Verilog HDL QuartusII目录摘要 (I)ABSTRACT (II)目录 (III)第 1章绪论 (1)概述 (1)任意波形发生器的功能 (1)国内外进展现状 (2)第2章波形发生器的大体理论 (4)FPGA简介 (4)Verilog语言简介 (4)Verilog语言概述 (4)VerilogHDL大体结构 (5)Q uarrtusII概述 (6)第3章方案设计 (8)系统介绍 (8)波形发生器各个模块设计 (9)Wave_gen 模块 (9)波形数据存储 ROM 模块 (9)第4章波形发生器软件仿真 (11)设计平台及仿真工具 (11)仿真进程 (11)结论 (14)附录 (16)第 1章绪论概述波形发生器是一种经常使用的信号源,普遍应用于电子电路,自动操纵系统,教学实验等领域,目前利用显现了大量能够产生多种波形且性能稳固的任意波形发生器,但大多数方案都是基于串行或并行总线进行数据的传输,这种方案尽管本钱较低,但系统的实时性较差,难以知足复杂波形的大数据量的传输要求。

EDA任意波形发生器

EDA任意波形发生器

目录第一章绪论............................................................................................................ 错误!未定义书签。

1.1EDA技术 ..................................................................................................... 错误!未定义书签。

1.2EDA的发展历程........................................................................................... 错误!未定义书签。

1.2.120世纪70年代的计算机辅助设计CAD阶段 ................................... 错误!未定义书签。

1.2.2 20世纪80年代的计算机辅助工程设计CAE阶段 ....................... 错误!未定义书签。

1.2.3 20世纪90年代电子系统设计自动化EDA阶段 ............................. 错误!未定义书签。

第二章设计要求.................................................................................................... 错误!未定义书签。

2.1课程设计要求............................................................................................. 错误!未定义书签。

2.2设计所产生波形......................................................................................... 错误!未定义书签。

EDA课程设计:任意波形产生器报告

EDA课程设计:任意波形产生器报告

目录第一章:绪论 (2)1.1概述EDA技术 (2)1.1.1 EDA技术的应用 (2)1.2数字通信系统模型简述 (3)1.2.1 DDS优点 (3)1.2.2 基于DDS技术的任意波形发生器 (3)第二章:任意波形产生器介绍 (4)2. 1 应用场合与作用 (4)2.2任意波形产生器系统的构成 (5)第三章:任意波形产生器的设计 (7)3.1设计要求 (7)3.2设计思路 (7)3.3设计流程 (8)3.4设计步骤及程序 (8)3.5 理论硬件调试结果 (14)第四章:课程设计总结 (16)参考文献 (17)第一章:绪论1.1概述EDA技术EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

现在对EDA的概念或范畴用得很宽。

包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。

1.1.1 EDA技术的应用EDA技术在进入21世纪后,得到了更大的发展应用,突出表现在以下几个方面:1.在FPGA上实现DSP应用成为可能,用纯数字逻辑进行DSP模块的设计,使得高速DSP实现成为现实,并有力地推动了软件无线电技术的实用化和发展。

波形发生器函数信号发生器设计课程设计

波形发生器函数信号发生器设计课程设计

波形发生器函数信号发生器设计课程设计课程目录设计一、设计要求------------------------------------------------2 二、设计的作用与目的------------------------------------2 三、波形发生器的设计------------------------------------31、函数波形发生器原理和总方案设计-------------------32、方案选择及单元电路的设计---------------------------53、仿真与分析----------------------------------------------9 4、PCB版电路制作-----------------------------------------13四、心得体会-----------------------------------------------15 五、参考文献-----------------------------------------------16 附录1课程设计波形发生器的设计电路函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。

函数信号发生器在电路实验和设备检测中具有十分广泛的用途。

通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。

一、设计要求设计一台波形信号发生器,具体要求如下: 1.该发生器能自动产生正弦波、三角波、方波。

2.指标:输出波形:正弦波、三角波、方波。

频率范围:1Hz_10Hz,10Hz_100Hz ,100Hz_1KHz,1KHz_10KHz。

输出电压:方波VP-P≤24V,三角波VP-P=8V,正弦波VP-P>1V; 3.频率控制方式:通过改变RC时间常数手控信号频率。

4.用分立元件和运算放大器设计的波形发生器要求用EWB进行电路仿真分析,然后进行安装调试。

EDA课程设计基于FPGA的任意波形发生器

EDA课程设计基于FPGA的任意波形发生器

EDA课程设计__基于FPGA的任意波形发生器学院:通信与电子工程学院摘要本文主要探索了应用FPGA灵活可重复编程和方便在系统重构的特性,以Verilog HDL为设计语言,运用QuarrtusII软件,将硬件功能以软件设计来描述,提高了产品的集成度,缩短开发周期。

所设计的波形发生器可产生正弦波(sina_wave)、锯齿波(swat_wave)、矩形波(squr_wave)、三角波(trig_wave)四种信号,能够实现信号的转换并且频率可调;关键字:任意波形发生器FPGA Verilog HDL QuartusIIAbstractThis paper explored the application of flexible and reprogrammable FPGA and convenience features in the system reconfiguration to Verilog HDL design language, the hardware functions to software design to describe and improve the integration of products and shorten the development cycle. Waveform generator designed to produce sine wave (sina_wave), ramp (swat_wave), rectangular wave (squr_wave), triangular wave (trig_wave) four signals, to achieve signal conversion and frequency adjustable;Keywords: Arbitrary Waveform Generator FPGA Verilog HDL QuartusII目录摘要 (I)ABSTRACT (II)目录 (III)第1章绪论 (1)1.1概述 (1)1.2任意波形发生器的功能 (1)1.3国外发展现状 (2)第2章波形发生器的基本理论 (4)2.1 FPGA简介 (4)2.2Verilog语言简介 (4)2.2.1 Verilog语言概述 (4)2.2.2VerilogHDL基本结构 (5)2.3QuarrtusII概述 (6)第3章方案设计 (8)3.1 系统介绍 (8)3.2波形发生器各个模块设计 (9)3.2.1 Wave_gen 模块 (9)3.2.2 波形数据存储ROM 模块 (9)第4章波形发生器软件仿真 (11)4.1设计平台及仿真工具 (11)4.2仿真过程 (11)结论 (14)附录 (16)第1章绪论1.1 概述波形发生器是一种常用的信号源,广泛应用于电子电路,自动控制系统,教学实验等领域,目前使用出现了大量能够产生多种波形且性能稳定的任意波形发生器,但大多数方案都是基于串行或并行总线进行数据的传输,这种方案虽然成本较低,但系统的实时性较差,难以满足复杂波形的大数据量的传输要求。

EDA课程设计_多功能波形信号发生器

EDA课程设计_多功能波形信号发生器

目录摘要 (1)一、设计要求 (3)三、系统设计思路 (4)3.1 波形函数发生装置的选择 (4)3.2 波形输入输出控制方式的选择 (5)四、各模块设计及仿真 (6)4.1函数发生模块 (6)4.1.1 正弦波模块 (6)4.1.2 方波模块 (7)4.1.3 递增锯齿波模块 (9)4.1.4 递减锯齿波模块 (10)4.1.5 阶梯波模块 (12)4.1.6 三角波模块 (13)4.2调控模块 (15)4.2.1波形输出控制单元 (15)4.2.2波形输入控制单元 (16)4.2.3频率控制单元 (18)4.2.4幅度控制单元 (20)4.3 D/A转换器 (21)4.4 总电路 (24)五、硬件测试 (25)5.1编译 (25)5.2 引脚的锁定 (26)5.3编程下载 (27)5.4 硬件验证 (28)六、课程设计心得体会 (31)参考文献 (32)附录 (33)摘要本次设计课题为应用VHDL语言及MAX+PLUSII软件提供的原理图输入设计功能,组合电子线路的设计加以完成一个任意波形信号发生器。

它具有结构紧凑,性能稳定,设计结构灵活,方便进行多功能组成的特点,经济实用,成本低廉的特点。

可产生正弦波、方波、三角波、递增锯齿波、递减锯齿波以及阶梯波,并可使用示波器观察波形。

实现了系统信号实时快速测量,也为其广泛应用于实际领域创造了条件。

在实现过程中,将整体功能模块化,分为函数发生模块和调控模块。

在调控模块中实现了调频调幅以及对于波形的输入输出控制。

对于D/A转化器,本实验选择的是TLC7528,利用简单的8进制计数控制CS和WR端口的同步输出,实现数模转换的同时,保持相应位的同步实现。

在课程设计中遇到了诸多困难,在用示波器显示波形时,却总是得不到稳定的波形,后来发现在输入控制中,仅需要3位二进制数即能完成简单的8进制计数,自己却习惯性的用了8位,这使得分频现象严重,更改后即得到了了稳定的输出波形,经过反复修改调试,最终试验成功。

EDA课程设计任意波形发生器

EDA课程设计任意波形发生器

目录第一章EDA技术概述 (2)1.1 EDA技术的发展 (2)1.2 EDA技术的基本设计方法 (3)1.2.1 电路级设计 (3)1.2.2 系统级设计 (4)1.2.3 数字系统设计模型及基本步骤 (6)1.3 数字系统设计 (8)1.3.1 数字系统设计概要 (8)1.3.2数字系统的设计模型 (8)1.3.3 数字系统的设计步骤 (9)第二章任意波形产生器 (11)2.1任意波形信号发生器的概述: (11)2.2发展趋势及应用 (11)2.3 任意波形产生器构成 (12)第三章任意波形产生器的设计 (14)3.1设计的意义 (14)3.2 设计步骤及程序 (15)心得体会 (19)参考引脚配置 (21)附录:下载板与主板主要器件连接关系 (22)第一章EDA技术概述EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。

EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。

典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。

综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。

综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。

也就是说,综合器是软件描述与硬件实现的一座桥梁。

综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD 相映射的网表文件。

陈冲EDA课程设计_任意波形信号发生器

陈冲EDA课程设计_任意波形信号发生器

陈冲EDA课程设计_任意波形信号发生器任意波形信号发生器姓名: 陈冲班级: 07通信工程指导老师:孙惠章1目录一.简述 (3)二.设计性能要求 (3)三.系统框图 (3)四.系统电路图 (3)五.基本工作原理 (4)六. 单元电路模块源程序及功能 (5)七.系统仿真波形 (10)八.引脚锁定 (11)九. 实验结果及硬件验证 (11)十(实验心得 (13)2任意波形信号发生器的设计一.简述随着信息科技的发展~波形发生器在科技社会等多个领域发挥着越来越重要作用。

采用eda技术利用quartus60软件平台~基于大规模可编程逻辑器件 fpga 设计的多功能波形发生器系统~大大简化其结构 , 降低成本 , 提高了系统的可靠性和灵活性。

设计中运用计数器~数据选择器~对所需的频率进行选择和同步。

使用宏功能模块存储波形。

然后多波形进行幅度的选择。

产生满足需要的不用频率和幅度的波形。

二(设计性能要求1.能输出正弦波~锯齿波~阶梯波~三角波~方波~矩形脉冲等八种波形。

2.具有幅度和频率的调整。

3.单元电路模块使用VHDL语言编写。

三.系统框图图1.任意波形信号发生器系统框图四(系统电路图3图2.任意波形信号发生器系统电路图五(基本工作原理将要产生的波形数据存入波形存储器中, 然后在参考脉冲的作用下, 对输入的频率数据进行累加, 并将累加器输出的一部分作为读取波形存储器的地址, 将读出的波形数据经D/A 转换为相应的电压信号,D/A 转换器输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形的信号。

选择八种基础波形为设计与实现的对象~而八个波形作为同一个任意波形发生器里的四个部分~是有着同一个输入与输出~因此在设计上还需要对波形进行选择与控制的部分~通过对时钟脉冲输入的选择~使得八个波形模块只有一个输入为时钟脉冲~其他三个模块则输入始终为0。

在波形输出时~设计一个模块控制输出的波形是所要求输出的波形~在时钟脉冲选择与输出波形选择两模块之间。

eda课程设计 信号发生器

eda课程设计  信号发生器

目录1. 引言 (1)2. VHDL语言及Quartus II软件介绍 (2)2.1 VHDL语言 (2)2.2 Quartus II软件 (2)3.总体设计思想及流程 (3)4. 具体程序实现模块 (4)4.1倍频器模块 (4)4.2主程序模块 (4)4.3 波形显示模块 (5)4.4频率显示模块 (5)5. 软件仿真 (6)6. 硬件显示 (7)7. 总结与体会 (8)参考文献 (9)附录 (10)附录1. 整体系统原理图 (10)附录2. 主程序 (11)1. 引言信号发生器是一种能够产生多种波形,如三角波、方波、锯齿波、正弦波的仪器。

信号发生器在电路实验和设备检测以及通信、雷达、导航、宇航等领域有广泛的应用。

正因为其在生活中应用的重要性,人们它做了大量的研究,总结出了许多实现方式。

可以基于FPGA 、VHDL、单片机、DOS技能、数字电路等多种方法实现。

简易信号发生器是信号发生器的一种。

可以实现信号发生器的一些基本功能。

本次课程设计要求设计的是一种简易信号发生器。

在本设计中要求设计的简易信号发生器是采用VHDL来实现的简易多功能信号发生器。

它能产生正弦波,三角波和方波。

且对各种波形的要求如下:(1)设计任意信号发生器,使之能够生成正弦波、三角波和方波;(2)电路的外部频率为40MHz,要求信号发生器可产生0-1KHz、1KHz~10KHz、10KHz~1MHz三档频率的信号;(3)要求具有波形选择和频率选择的功能;(4)在同一频率档内,可实现频率的加减;(5)要求显示波形的同时能够进行频率的调节;(6)要求能够显示波形:A——正弦波;B——三角波;C——方波;(7)要求能够显示频率值;(8)可用示波器进行波形的观测。

2. VHDL语言及Quartus II软件介绍2.1 VHDL语言本次设计使用的是VHDL语言。

VHDL 的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982 年。

EDA课程设计--任意波形发生器

EDA课程设计--任意波形发生器

EDA课程设计一任意波形发生器DA大作业学院:电子信息学院专业:通信专业102班姓名:许文博学号:41003030210EDA技术概述EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD (计算机辅助设计)、CAM (计算机辅助制造)、CAT (计算机辅助测试)和CAE (计算机辅助工程)的概念发展而来的。

EDA技术是以计算机为工具,根据硬件描述语言HDL ( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。

典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。

综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件, 进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。

综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。

也就是说,综合器是软件描述与硬件实现的一座桥梁。

综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD相映射的网表文件。

任意波形信号发生器的概述随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作用。

采用EDA技术利用MAX+PLUSII软件平台,设计的多功能波形发生器系统,大大简化其结构,降低成本,提高了系统的可靠性和灵活性。

设计中运用计数器,数据选择器,对所需的频率进行选择和同步。

使用宏功能模块存储波形。

然后多波形进行幅度的选择。

产生满足需要的不用频率和幅度的波形。

任意波形产生器构成:•上图为任意波形发生器的构成图,sel 为控制波形输出。

• Kk 为分频模块,与k 步长调整波形输出的频率。

输出8位数字信号经过D/A 转换输出负波形,再经过1: 1比例反向放大器输出正向波形,施密 特触发电路输出方波然后经测频模块由数码管显示出频率。

基于单片机eda技术的波形发生器的设计

基于单片机eda技术的波形发生器的设计

基于单片机、eda技术的波形发生器的设计篇一:基于单片机的任意波形发生器的设计1 绪论在当今这个时代人们的生活水平不断提高,而产生方波、正弦波、三角波等波形的发生器存在一定的缺陷,现在我们应该需要一种能产生任意波形的发生器,它不单能产生传统的波形,还能输出它的频率、周期等功能,并且它的分辨率要求也是比较高的,还能清晰的看出波形图的幅值跟频率。

因此,本设计中的信号发生模块选择AD9833,主控制器选择STC89C52单片机来实现,这些芯片就能完成了任意信号发生器的设计了。

1.1 课题背景及意义在最近几年出现了一个能产生任意波形信号源的发生器,这就是任意波形发生器。

任意波形发生器比之前的波形发生器还利用了数模转化和微处理器等功能。

任意波形发生器能够根据人们的要求显示出不同的波形,其中波形的周期也是可以变化的。

它也可以产生一般发生器显示的波形,比如方波,三角波,正弦波等稳定周期的波形。

伴随着人类的进步,在工业上、电子上的发展不断加快,使人们对信号需要更深透的理解。

通信的发展都需要不同的信号来测试,在研究生物学领域的时候,也要接触脑波信号跟神经信号等电信号。

所以,任意信号发生器部分的信号源是由自动化部分的系统跟较少的测试方案一起组成的。

这种发生器的发展越来越快,在电学跟非电学领域中发展也很快。

目前,大多数仪器设备开始朝着低功耗、便携式、智能化和多功能方向发展。

大多数新型的函数信号发生器都不再采用分立元件的方法,主要是因为采用分立元件制作的信号发生器的功耗较大,并且最终实现设计的体积也非常的大,此外这些信号发生器输出频率稳定度和精度都较差。

DDS技术的出现为智能化、高精度和高稳定度的信号发生器的设计奠定了基础。

DDS技术是一种全数字频率合成技术。

它是利用一段数据链来通过数模转换而产生之前所确定的一个模拟信号,原因是它没有锁相环跟震荡元件的环节。

为了能使显示出来的信号频率与它的转换速度为准确的,这就得需要将它的方法跟理论结合起来,才能确保它的功能正常工作。

课程设计报告(波形发生器)

课程设计报告(波形发生器)

课程设计课程名称模拟电子技术基础课程设计题目名称波形发生电路_学生学院物理与光电工程学院专业班级电子科学与技术(5)班学号学生姓名指导教师2008-12-17摘要和关键词【摘要】:用RC桥式正弦波振荡电路产生正弦波,正弦波频率可通过调节电阻R及电容C实现100HZ—20KHZ的变换,再通过电压跟随器输出正弦波,电压跟随器起到保护前级不受后级影响。

正弦波通过过零比较器,整形为方波,同样经过电压跟随器输出方波。

方波通过积分运算电路,整形为三角波,同样经过电压跟随器输出三角波,方波、三角波的频率与正弦波频率相同。

【关键词】:RC桥式振荡电压跟随器过零比较器积分运算电路设计任务与技术指标要求:设计并制作用分立元件和集成运算放大器组成的能产生方波、三角波和正弦波的波形发生器。

指标:输出频率分别为:102H Z、103H Z和104Hz;方波的输出电压峰峰值V PP≥20V电路设计及其原理1)方案比较方案一先通过压控方波振荡电路产生方波信号,方波信号经过积分运算电路整形为三角波,三角波通过低通滤波器整形为正弦波。

方案二用RC桥式正弦波振荡电路产生正弦波,正弦波频率可通过调节电阻R 及电容C实现100HZ—20KHZ的变换,再通过电压跟随器输出正弦波。

正弦波通过过零比较器,整形为方波,同样经过电压跟随器输出方波。

方波通过积分运算电路,整形为三角波。

方案二同方案一比较,有较为明显的优势,首先,由于是采用滤波方式产生正弦波,高低频特性较差,可实现的波形频率范围较窄。

方案二采用RC桥式正弦振荡电路产生正弦波,频率范围较宽,用过零比较器整形为方波,更容易实现幅度的调节。

由于方案二的优势,本设计采用方案二。

2) 单元电路设计RC 桥式正弦振荡电路RC 桥式正弦波振荡电路,也称文氏桥振荡电路。

它可由以下四个部分组成: (1) 放大电路:保证电路能够有从起振到动态平衡的过程,使电路获得一定幅值的输出量,实现能量的控制。

(2) 选频网络:确定电路的振荡频率,使电路产生单一频率的振荡,即保证电路产生正弦波振荡。

EDA课程设计-简易信号发生器[23页].doc

EDA课程设计-简易信号发生器[23页].doc

EDA课程设计简易信号发生器简易信号发生器1.课程设计要求1. 完成实验板上DAC的匹配电阻选择、焊接与调试,确保其可以正常工作。

2. 根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。

频率字可以由串口设定,也可以由按键控制,数码管上显示频率值。

3. 可以控制改变输出波形类型,在正弦、三角波、锯齿波、方波之间切换。

4. 输出波形幅度可调,最小幅度步进100mV。

2.直接数字频率合成(DDS)原理直接数字频率合成技术是根据相位间隔对正弦信号进行取样、量化、编码,然后储存在EPROM中构成一个正弦查询表。

频率合成时,相位累加器在参考时钟的作用下对时钟脉冲进行计数,同时将累加器输出的累加相位与频率控制字K 预置的相位增量相加,以相加后的吉果形成正弦查询表的地址;取出表中与该相位对应的单元中的幅度量化正弦函数值,经D/A转换器输出模拟信号,再经低通滤波器平滑得到符合要求的模拟信号。

相位累加器的最大计数长度与正弦查询表中所存储的相位分隔点数相同,由于相位累加器的相位增量不同,将导致一周期内的取样点数不同,在取样频率(由参考时钟频率决定)不变的情况下,输出信号的频率也相应变化。

fin=M(fclk/2^n)3. 系统设计 3.1 总体设计方案信号发生器从总体上可以分为系统控制模块,波形产生模块和D/A 转换模块。

系统控制模块负责处理按键的读入,按键处理,将频率和幅度在数码管上显示,调节频率和幅度以及波形的选择。

波形发生模块负责按照控制模块输入的信号,输出相应的波形(正弦波,方波锯,齿波,三角波),以及输出相应幅度和频率的信号。

D/A 转换模块负责将输入的数字信号转换为模拟信号。

系统控制模块数码管显示(幅度频率)按键读入LED 指示灯频率调节 波形选择幅度调节波形产生模块D/A 转换显示输出3.2 系统控制模块1、按键控制模块和数码显示模块键值读取和按键消抖模块when 1 => if counter>="1111111111111110" thenstate:=2;counter:="00000";else counter:=counter+1;state:=1;end if;when 2 => if abcd(0)='0' or abcd(1)='0' or abcd(2)='0'or abcd(3)='0' thencase abcd iswhen "0111"=>key_value_1<="00";state:=3;when "1011"=>key_value_1<="01";state:=3;when "1101"=>key_value_1<="10";state:=3;when "1110"=>key_value_1<="11";state:=3;when others=>state:=0;end case;else state:=0;end if;when 3 => if (abcd(0)='0' or abcd(1)='0' or abcd(2)='0'or abcd(3)='0')thenif counter>="00011"thencounter:="00000";out_clk<='1';else counter:=counter+1;end if;state:=3;elsestate:=4;out_clk<='1';end if;when 4 => if counter>="00111" thenstate:=0;c ounter:="00000";out_clk<='0';else counter:=counter+1;state:=4;end if;end case;end if;e nd process;2)、按键处理和显示模块设计思路外接的四个按键的分配:A:数码管频率显示和幅度显示切换;B:波形之间的切换(用四个LED对应显示);C:选择要调节的数码管(用四个LED对应显示);D:对选择的数码管进行调节(0~9循环显示);数码管显示:从左往右依次是频率(单位Hz)或幅度(mV)值的千、百、十、个位。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA课程设计任意波形信号发生器姓名: 陈冲班级: 07通信工程指导老师:孙惠章目录一.简述 (3)二.设计性能要求 (3)三.系统框图 (3)四.系统电路图 (3)五.基本工作原理 (4)六. 单元电路模块源程序及功能 (5)七.系统仿真波形 (10)八.引脚锁定 (11)九.实验结果及硬件验证 (11)十.实验心得 (13)任意波形信号发生器的设计一.简述随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作用。

采用eda技术利用quartus60软件平台,基于大规模可编程逻辑器件fpga设计的多功能波形发生器系统,大大简化其结构, 降低成本, 提高了系统的可靠性和灵活性。

设计中运用计数器,数据选择器,对所需的频率进行选择和同步。

使用宏功能模块存储波形。

然后多波形进行幅度的选择。

产生满足需要的不用频率和幅度的波形。

二.设计性能要求1.能输出正弦波,锯齿波,阶梯波,三角波,方波,矩形脉冲等八种波形。

2.具有幅度和频率的调整。

3.单元电路模块使用VHDL语言编写。

三.系统框图图1.任意波形信号发生器系统框图四.系统电路图图2.任意波形信号发生器系统电路图五.基本工作原理将要产生的波形数据存入波形存储器中, 然后在参考脉冲的作用下, 对输入的频率数据进行累加, 并将累加器输出的一部分作为读取波形存储器的地址, 将读出的波形数据经D/A 转换为相应的电压信号,D/A 转换器输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形的信号。

选择八种基础波形为设计与实现的对象,而八个波形作为同一个任意波形发生器里的四个部分,是有着同一个输入与输出,因此在设计上还需要对波形进行选择与控制的部分,通过对时钟脉冲输入的选择,使得八个波形模块只有一个输入为时钟脉冲,其他三个模块则输入始终为0。

在波形输出时,设计一个模块控制输出的波形是所要求输出的波形,在时钟脉冲选择与输出波形选择两模块之间。

对于频率的选择可以选择分频器,同时也可以选择计数器,本实验采用的是计数器以实现分频的效果,输出分别为二分频,四分频,八分频,十六分频用以实现不同的频率。

幅度调节可以使用lpm_divide,可以实现八种不同的幅度调节。

六.单元电路模块源程序及功能1.分频模块以下为分频模块(CT74161)的VHDL语言编程源程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CT74161 ISPORT(clk : IN STD_LOGIC;clk_div2 : OUT STD_LOGIC;clk_div4 : OUT STD_LOGIC;clk_div8 : OUT STD_LOGIC;clk_div16 : OUT STD_LOGIC);END CT74161;ARCHITECTURE rtl OF CT74161 ISSIGNAL count : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGINPROCESS(clk)BEGINIF (clk'EVENT AND clk='1') THENIF(count="1111") THENCount <= (OTHERS =>'0');ELSECount <= count +1;END IF ;END IF ;END PROCESS;clk_div2 <= count(0);clk_div4 <= count(1);clk_div8 <= count(2);clk_div16 <= count(3);END rtl;在Quartus II 6.0下得到的电路模块图形和软件仿真数据:2.频率选择模块以下为频率选择模块(tiaopin)的VHDL语言编程源程序library ieee;use ieee.std_logic_1164.all;entity tiaopin isport(s1,s0: in std_logic;a,b,c,d: in std_logic;y: out std_logic);end tiaopin;architecture one of tiaopin issignal s: std_logic_vector(1 downto 0); signal y_temp: std_logic;begins<=s1&s0;process(s1,s0,a,b,c,d)begincase s iswhen "00"=>y_temp<=a;when "01"=>y_temp<=b;when "10"=>y_temp<=c;when "11"=>y_temp<=d;when others=>y<='X';end case;end process;y<=y_temp;end one;在Quartus II 6.0下得到的电路模块图形:3.波形选择模块以下为波形选择模块(decoder)的VHDL语言编程源程序library ieee;use ieee.std_logic_1164.all;entity DECODER isport(a0,a1,a2,s0,s1,s2:in std_logic;y0,y1,y2,y3,y4,y5,y6,y7:out std_logic);end DECODER;architecture ymq of DECODER issignal a:std_logic_vector(2 downto 0);begina<=a2&a1&a0;process(a,s0,s1,s2)variable y:std_logic_vector(7 downto 0); beginif(s2='0' and s1='0' and s0='1')thencase a iswhen"000"=>y:="11111110";when"001"=>y:="11111101";when"010"=>y:="11111011";when"011"=>y:="11110111";when"100"=>y:="11101111";when"101"=>y:="11011111";when"110"=>y:="10111111";when"111"=>y:="01111111";when others=>y:="XXXXXXXX";end case;elsey:="11111111";end if;y0<=y(0);y1<=y(1);y2<=y(2);y3<=y(3);y4<=y(4);y5<=y(5);y6<=y(6);y7<=y(7);end process;end ymq;在Quartus II 6.0下得到的电路模块图形和软件仿真数据:4.输出波形选择模块以下为输出波形模块(lpm)的VHDL语言编程源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity lpm isport (s1,s2,s3:in std_logic;a,b,c,d,e,f,g,h:in std_logic_vector(7 downto 0);z:out std_logic_vector(7 downto 0));end lpm;architecture one of lpm issignal s:std_logic_vector(2 downto 0);begins<=s3&s2&s1;process (s1,s2,s3,a,b,c,d,e,f,g,h)begincase s iswhen "000"=>z<=a;when "001"=>z<=b;when "010"=>z<=c;when "011"=>z<=d;when "100"=>z<=e;when "101"=>z<=f;when "110"=>z<=g;when "111"=>z<=h;when others=>null ;end case;end process;end one;在Quartus II 6.0下得到的电路模块图形和软件仿真数据:5.幅度控制模块以下为幅度控制模块(tiaofu)的VHDL语言编程源程序library ieee;use ieee.std_logic_1164.all;entity tiaofu isport(a,b,c: in std_logic;sel: out std_logic_vector(2 downto 0)); end tiaofu;architecture three of tiaofu isbeginsel<=a&b&c;end three;在Quartus II 6.0下得到的电路模块图形七.系统仿真波形注:以正弦波为例八.引脚锁定试验中将脉冲输入选择和波形输出选择的输入端接在一起,以实现同步。

接实验箱的开关,实现数字信号对模拟波形的控制。

将八位输出端接数模转换模块。

其引脚锁定图如下:图3:实验引脚锁定图九.实验结果及硬件验证用导线连接各引脚,并将实验设计下载到试验箱。

用示波器观察输出波形。

软件模拟数据和示波器显示波形相吻合,能产生八种稳定的波形,具有八种幅度调节和四种频率选择。

相关文档
最新文档