电子线路基础数字电路实验10 计 数 器

合集下载

数字电路实验-光电计数器

数字电路实验-光电计数器

课程综合设计课程名称:《数字电路实验》实验名称:《光电计数器》学院:应用科技学院专业:电子信息工程年级:2012级学号:____________姓名:____________设计意义及实现功能:工厂生产线或某些设备上(如打印机)常装有自动计数器,以便计算产量或为生产过程自动化合计算机管理系统提供数据,计数器种类很多,光电计数器是常见的一种。

设计并制作一个光电计数器,要求如下:(1)光源采用聚焦白炽灯,电压为6.3V,自行选择光敏器件。

当有光照到光敏器件上时,计数器不计数,当光照有亮突变到暗的一瞬间,产生一个脉冲沿,对这个脉冲沿进行技术,光照由暗突变到亮不计数。

(2) 计数器范围:00~99。

用两只LED数码管作显示组件,可显示00~99。

(3)定数控制功能:当需要定数时,事先预置一个定数值,显示器同时显示这个定数值。

每光照一次,计数器减“1”,当定数值减至:“00”,发出声、光报警。

(4)当计数器作“累加”功能时,需先清零。

计数器从“00”累加到“99”。

当光照次数大于99次时,发出声,光报警。

实验原理CD4511引脚图及功能CD4511是一个用于驱动共阴极 LED (数码管)显示器的 BCD 码—七段码译码器,特点如下:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS 电路能提供较大的拉电流。

可直接驱动LED显示器。

器中的字形消隐。

其功能介绍如下:BI:4脚是消隐输入控制端,当BI=0 时,不管其它输入端状态如何,七段数码管均处于熄灭(消隐)状态,不显示数字。

LT:3脚是测试输入端,当BI=1,LT=0 时,译码输出全为1,不管输入DCBA 状态如何,七段均发亮,显示“8”。

它主要用来检测数码管是否损坏。

LE:锁定控制端,当LE=0时,允许译码输出。

LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。

A1、A2、A3、A4、为8421BCD码输入端。

a、b、c、d、e、f、g:为译码输出端,输出为高电平1有效。

数电实验报告十进制计数器设计

数电实验报告十进制计数器设计
if (!RST) Q1 <= 0;
else if (EN) begin
if (!LOAD) Q1 <= DATA;
else if (Q1<9) Q1 <= Q1+1;
else Q1 <= 4'b0000;
end
end
always @(Q1)
if (Q1==4'h9) COUT = 1'b1;
else COUT = 1'b0;
Endmodule
二、仿真波形
三、电路图
四、引脚配置(约束文件)
五、思考与探索
1.本试验没有连接到实验板测试,不过可以将输出信号接至LED灯口,发光为高电平,根据发光的顺序判断计数器是否正常工作
2.遇到的问题,时钟信号上升沿和下降沿判断错误
3.二进制码->格雷码:从最右边该位的值,最左边一位不变
input EN;
input RST;
input LOAD;
input [3:0] DATA;
output [3:0] DOUT;
output COUT;
reg [3:0] Q1 ;
reg COUT ;
assign DOUT = Q1;
always @(posedge CLK or negedge RST) begin
实验报告
2017年12月7日成绩:
姓名
学号
班级
专业
课程名称
《数字电路实验》
任课老师
指导老师
机位号
实验序号
12
实验名称
十进制计数器设计
实验时间
2017.12.7
实验地点
一教225

电子线路实验-数电-2019

电子线路实验-数电-2019
0010
B4 B3 B2 B1
0101
C0
C4
0
数码 显示
结果转换为 十进制数
0010 0110 1 1010 1101 0
a
f
g
b
e
c
d
a b c def g
74L S248
LT BI /RBO
RBI
1
F4
F3
F2
F1
C4 7 4 L S 2 8 3
C0
B 4B 3B 2B 1
A 4A 3A 2A 1
D0D D 10 D21 D30 D4D D50 D61 D7D
三、集成触发器
实验目的
1. 熟悉常用触发器的基本结构及其逻辑功能。 2. 能用触发器设计基本的时序逻辑电路。
实验所用仪器、设备
• 万用表 • 直流稳压电源 • 函数信号发生器 • 双踪示波器 • 数字电路实验板
实验说明
2.用3-8译码器实现函数:F1 m(1,4,6) F2 m(1,2,4,5,6,7)
3.用8选1数据选择器74LS151实现函数
F ( A ,B , C ,D ) m ( 0 , 4 , 5 , 8 , 1 2 , 1 3 , 1 4 )
• (二)扩展命题 3.用3-8译码器74LS138和门电路设计一个数字显 示报警电路。 要求:
Y
16
2
1
0
74LS148
VCC
ST
8
II I
7
6
5
II
4
3
I 2
I 1
I 0
K 1
K KKKK KK
2
3
4
5
6
7

10 数字电路基础(电子教材)

10 数字电路基础(电子教材)

项目10 数字电路基础学习目标1.知识目标(1) 掌握数字信号与模拟信号的特点。

(2) 熟悉数字电路的特点与分类。

(3) 掌握十进制、二进制、八进制以及十六进制之间的转换。

(4) 掌握一些常用的编码。

(5) 掌握逻辑函数的表示方法及相互间的转化。

(6) 掌握逻辑代数和卡诺图化简方法。

2.技能目标(1) 能识别数字信号与模拟信号。

(2) 能测量调节数字信号的各个参数。

生活提点由于自然界中的各种信号,例如光、电、声、振动、压力、温度等通常表现为在时间和幅度上都是连续的模拟信号,所以传统上对信号的处理大都采用模拟系统(或电路)来实现。

随着人们对信号处理要求的日益提高,以及模拟信号处理中一些不可克服的缺点,对信号的许多处理转而采用数字的方法来进行。

近年来由于大规模集成电路和计算机技术的进步,信号的数字处理技术得到了飞速发展。

数字信号处理系统无论在性能、可靠性、体积、耗电量、成本等诸多方面都比模拟信号处理系统优越得多,使得许多以往采用模拟信号处理的系统越来越多地被数字处理系统所代替,进一步促进了数字信号处理技术的发展,其应用领域包括通信、计算机网络、雷达、自动控制、地球物理、声学、天文、生物医学、消费类电子产品等国民经济的各个部门,已经成为信息产业的核心技术之一。

比如平时用到的手机、MP3、计算机等产品,均是基于数字信号处理基础上的数字化产品,而数显电容计中所用的也均为各种集成数字电路,接下来先来认识一下数字信号。

项目目标:使用信号发生器获取数字信号与模拟信号。

项目要求:通过示波器来检测各数字信号的幅度、周期、脉冲宽度及占空比。

项目提示:图10.1 所示为信号发生器输出的模拟信号及数字信号的波形的示波器截图。

(a) 模拟信号截图(b) 数字信号截图图10.1 数字及模拟信号截图接下来通过信号发生器和示波器测试数字信号。

测试器件清单见表10-1。

表10-1 项目测试器件清单测试步骤如下。

1.将信号发生器的输出端与示波器的输入正确相连。

数电课程设计十秒倒计时定时器

数电课程设计十秒倒计时定时器

辽宁师范大学《数字电路》课程设计(09级本科)题目: 定时器1学院:物理与电子技术学院专业:电子信息工程班级:09.3班学号:14级!姓名: 张宁指导教师:赵静邱红张卓2完成日期:2011年10 月27 日一•设计内容及要求10 秒的倒计时定时器,倒计时要求用数码显示,当定时到1秒时,有声音提示,提示声音为0.5秒,当倒计时到0时停止计数二.总体方案设计由设计内容及要求,我设计了一个以NE555构成的多谐振荡电路,来发出一秒间隔的脉冲;用74LS192进行倒计时,通过74LS47连接一个数码显示器;由74LS192发出的高低电平经过逻辑电路变化,连接74LS121来控制蜂鸣器在1秒时响。

三.单元模块设计.1.以NE555构成的多谐振荡器NE555的震荡器在本电路中的周期T=C(R1+R2)=1S 图二冲图三为多谐振荡电路 R1和R2, C 的值确保震荡周期为1 秒,图三的右下角为复位电路,与下一部分一同介绍。

2.倒计时电路图5-1 7 11.SI92的引和扌*列及逻辑符巧<H )引脚扌非列I%1HI l_d I HL L TUT ?1 Qi 口。

CP (> OPuPOOJQO Qijr1一匕 f3 2 P2 P36Q2 7Q3CPu CPnMRTCu TC D1213L_r —Po “就Fil rial pin1011 —14-图四由74LS192的真值表图四可以看出,若想让元件工作在减计数状态MR PL 非,CPu的值必须分别为0,1,1。

由要求可以看出,192的初始必须是九,所以加了一个复位电路,确保初始值是9.计数器输入端P0,1,2,3对应接高低低高电平。

Q0, Q1,Q2,Q3为计数器输出端接到74LS47上。

NE555的3号管脚与74LS192的4浩管脚相连。

一秒发出一个脉冲,74LS192开始倒计时。

4.逻辑电路逻辑电路的作用在于将74LS192输出为一,即 Q3Q2Q1Q0=0001时输给报警电路一个负脉冲。

数字电子计时器

数字电子计时器

数字电子计时器吴东城(常州工学院计算机信息工程学院10计一,江苏常州213002)摘要:该数字电子计时器是用数字电路技术实现时、分、秒计时的装置,具有计时及校时功能。

该数字电子计时器的时间周期为24小时,计时器显示时、分、秒,计时器的时间对应现实生活中的时钟的一秒。

根据日常生活中的观察,数字电子计时器设计成型后供扩展的方面很多——涉及到定时自动报警、按时自动打铃、定时广播、定时启闭路灯等。

因此,与机械式时钟相比具有更高的可视性和精确性,而且无机械装置,具有更长的使用寿命,所以研究数字钟及扩大其应用,有着非常现实和实际的意义。

数字电子计时器是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。

但从知识储备的角度考虑,本设计是以中小规模集成电路设计数字钟的一种方法。

数字钟包括组合逻辑电路和时序电路关键词:计时器;计数器;校时;组合逻辑电路;时序逻辑电路;译码。

0 概述0.1课题的现状:由于该课题应用较为普遍,所以实现方法很多。

基于单片机原理实现,用数字电路实现,用EDA技术实现,还可用F201448技术工艺,当然,还可以通过编程实现。

0.2本课题设计的目的、意义:数字电子计时器是一种用数字电路技术实现时、分、秒计时的装置,与机械式计时器相比具有更高的准确性和直观性,企且无机械装置,具有更长的使用寿命,因此应该得到广泛使用。

本次课程设计的目的,就是为了了解数字电子计时器的原理,从而学会制作数字电子计时器,而且通过计时器的制作机一部了解各种在制作中用到的中小规模集成电路的作用及实用方法,且由于数字电子计时器包括组合逻辑电路和时序逻辑电路,通过它可以进一步学习和掌握两种电路的原理与使用方法。

0.3课题内容、要求、达到的性能指标:(1)根据计时器的方框图和指定器件,完成计时器的主体电路设计及实验;(2)利用异步时序电路的方法,设计一个24进制的时空电路,要求当计数器运行到23时59分59秒是,秒个位计数器在接受一个秒脉冲信号后,计数器自动显示为00时00分00秒,完成进制的计时要求;(3)具有校时、分、秒;(4)在实验板上安装、调试出课题所要求的计时器;(5)画出逻辑电路图,时序图,并写出报告。

国开作业《数字电子电路》实验3集成计数器设计参考77

国开作业《数字电子电路》实验3集成计数器设计参考77

实验三集成计数器实验报告
一、实验目的和要求
1、学会用触发器构成计数器。

2、熟悉集成计数器。

3、掌握集成计数器的基本功能。

二、实验原理
计数器是数字系统中用的较多的基本逻辑器件,它的基本功能是统计时钟脉冲的个数,即实现计数操作,它也可用与分频、定时、产生节拍脉冲和脉冲序列等。

例如,计算机中的时序发生器、分频器、指令计数器等都要使用计数器。

计数器的种类很多。

按构成计数器中的各触发器是否使用一个时钟脉冲源来分,可分为同步计数器和异步计数器;按进位体制的不同,可分为二进制计数器、十进制计数器和任意进制计数器;按计数过程中数字增减趋势的不同,可分为加法计数器、减法计数器和可逆计数器;还有可预置数等等。

1、用D触发器构成异步二进制加法/减法计数器
图5-1 3位二进制异步加法器
如上图5-1所示,是由3个上升沿触发的D触发器组成的3位二进制
异步加法器。

图中各个触发器的反相输出端与该触发器的D输入端相连,就把D触发器转换成为计数型触发器T。

将上图加以少许改变后,即将低位触发器的Q端与高一位的CP端相连,就得到3位二进制异步减法器,如下所示:
图5-2 3位二进制异步减法器
2、异步集成计数器74LS90
74LS90为中规模TTL集成计数器,可实现二分频、五分频和十分频等功能,它由一个二进制计数器和一个五进制计数器构成。

其引脚排列图和功能表如下所示:
图5-3 74LS90的引脚排列图。

数电课程设计--十秒倒计时定时器

数电课程设计--十秒倒计时定时器

辽宁师范大学《数字电路》课程设计(09级本科)题目:定时器1 学院:物理与电子技术学院专业:电子信息工程班级:班级学号: 14 姓名:张宁指导教师:赵静邱红张卓完成日期:2011 年 10 月 27 日一.设计内容及要求10秒的倒计时定时器,倒计时要求用数码显示,当定时到1秒时,有声音提示,提示声音为秒,当倒计时到0时停止计数二.总体方案设计由设计内容及要求,我设计了一个以NE555构成的多谐振荡电路,来发出一秒间隔的脉冲;用74LS192进行倒计时,通过74LS47连接一个数码显示器;由74LS192发出的高低电平经过逻辑电路变化,连接74LS121来控制蜂鸣器在1秒时响。

三.单元模块设计.1.以NE555构成的多谐振荡器图1 图二NE555的震荡器在本电路中的周期T=C(R1+R2)=1S图三。

图三为多谐振荡电路R1和R2,C的值确保震荡周期为1秒,图三的右下角为复位电路,与下一部分一同介绍。

2.倒计时电路图四图五由74LS192的真值表图四可以看出,若想让元件工作在减计数状态MR,PL非,CPu的值必须分别为0,1,1。

由要求可以看出,192的初始必须是九,所以加了一个复位电路,确保初始值是9.计数器输入端P0,1,2,3对应接高低低高电平。

Q0,Q1,Q2,Q3为计数器输出端接到74LS47上。

NE555的3号管脚与74LS192的4浩管脚相连。

一秒发出一个脉冲,74LS192开始倒计时。

图六4.逻辑电路逻辑电路的作用在于将74LS192输出为一,即Q3Q2Q1Q0=0001时输给报警电路一个负脉冲。

图七图八图九图九管脚功能描述:管脚3(A1)、4(A2)是负边沿触发的输入端;管脚5(B)是同相施密特触发器的输入端,对于慢变化的边沿也有效;管脚10(C ext)和管脚11(R ext/C ext)接外部电容(C x),电容范围在10pF~10μF之间;管脚9(R int)一般与管脚14(V CC,接+5V)相连接;如果管脚11为外部定时电阻端时,应该将管脚9开路,把外接电阻(R x)接在管脚11和管脚14之间,电阻的范围在2~40kΩ之间。

电子电工实验报告——数字计时器

电子电工实验报告——数字计时器

南京理工大学电子电工综合实验(Ⅱ)--数字计时器实验报告专业:通信工程班级:9141042202姓名:许雪婷学号:9141133702082016年09月目录一、实验目的、要求及内容;二、器件引脚图及功能表;三、各单元电路的原理、设计方法及逻辑图;四、数字计时器电路引脚接线图;一、 实验目的、要求及内容1.实验目的① 掌握常见集成电路实现单元电路的设计过程。

② 了解各单元再次组合新单元的方法。

2.实验要求实现00’00”—59’59”的可整点报时的数字计时器。

3.实验内容① 设计实现信号源的单元电路。

(KHz F Hz F Hz F Hz F 14,5003,22,11≈≈≈≈) ② 设计实现00’00”—59’59”计时器单元电路。

③ 设计实现快速校分单元电路。

含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。

④ 加入任意时刻复位单元电路(开关K2)。

⑤ 设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。

二、器件引脚图及功能表元件清单:集成电路:NE555 一片,CD4040 一片,CD4518 二片,CD4511 四片,74LS00 三片,74LS20 一片,74LS21 三片,74LS74 一片。

电阻:1KΩ一只,3KΩ一只,150Ω四只。

电容:0.047uF 一只。

LED共阴双字屏二块。

1、NE555图1-1 NE555引脚图图1-2 NE555逻辑功能表2、CD4040图2-1 CD4040引脚图图2-2 CD4040功能表3、CD4518图3-1 CD4518引脚图图3-2 CD4518功能表4、CD4511图2-1 CD4511引脚图图2-2 CD4511逻辑功能表5、74LS0074LS00是一种集成了4个与非门的集成电路。

图5-1 74LS00引脚图图5-2 与非门逻辑表6、74LS2074LS20同样是一种与非门集成电路,与74LS00不同的是它的每个与非门有4个输入端。

数字电路技术基础-倒计时器实验

数字电路技术基础-倒计时器实验

倒计时器实验实验要求设计25分钟倒计时器,输入为key1和key2,初始状态为数码管显示2500。

通过key1控制切换计数与暂停状态。

当key1按下时,开始倒计时,再次按下,暂停计时。

通过key2控制置初值。

暂停状态下,当key2按下时,回到初始状态,数码管显示2500。

设计暂不考虑倒计时到0000时的处理,要做处理需要增加输入(计数到零时的标志位)和状态(归零状态)。

提示:上节课完成的25分钟倒计时可以增加两个输入,一个为计数使能端,一个为置数端。

使用课前预习的状态机模块,控制25分钟倒计时模块。

调试时,可先使用开关作为状态机的按键输入,测试没有问题后,再加入按键控制。

1.源代码1)counter25_top.v`timescale 1ns / 1psmodule counter25_top(input wire clk,input wire key1,input wire key2,output wire[6:0]a_to_g,output wire[3:0]an);wire jinwei;wire a;wire b;wire anjian1,anjian2;Sec_pule_generator U0(.clk(clk),.sec_pule(jinwei));ButtonEdgeDetect U3(.clk(clk),.button(key1),.rise(anjian1));ButtonEdgeDetect U4(.clk(clk),.button(key2),.rise(anjian2));State U1(.clk(clk),.key1(anjian1),.key2(anjian2),.cnt_en(a),.load(b));counter25 U2(.clk_sec(jinwei),.clk(clk),.cnt_en(a),.load(b),.a_to_g(a_to_g),.an(an));Endmodule2)ButtonEdgeDetect.v module ButtonEdgeDetect(input clk,input button,output reg rise);reg[7:0] samp;initialbeginrise=0;samp=8'b0000_0000;endalways @(posedge clk)samp<={samp[6:0],button};always @(posedge clk)if(samp==8'b0000_0001)rise<=1'b1;elserise<=1'b0;// always @(posedge clk)// if(samp==8'b1111_1110) // fall<=1'b1;// else// fall<=1'b0;Endmodule3)Sec_pul_genterator.v `timescale 1ns / 1psmodule Sec_pule_generator( input clk,output reg sec_pule);parameter M=24;reg [M:0] cnt;initial cnt=25'b0;always@(posedge clk)beginif(cnt==10000000)cnt<=0;elsecnt<=cnt+1;endalways@(posedge clk)beginif(cnt==10000000)sec_pule<=1;elsesec_pule<=0;endendmodule4)counter25.v`timescale 1ns / 1psmodule counter25(input wire clk,input wire clk_sec,input wire cnt_en,input wire load,output reg[6:0]a_to_g, output reg[3:0]an);reg [15:0] cnt25;reg carry;initial begincnt25[3:0]=0;cnt25[7:4]=0;cnt25[11:8]=5;cnt25[15:12]=2;endalways@(posedge clk_sec)beginif(cnt_en==1&&load==0)begincarry<=0;if(cnt25[3:0]==0&&cnt25[7:4]==0&&cnt25[11:8]==5&&cnt25[15:12]==2) begincnt25[3:0]=9;cnt25[7:4]=5;cnt25[11:8]=4;cnt25[15:12]=2;endelse begincnt25[3:0]<=cnt25[3:0]-1;if(cnt25[3:0]==0)begincnt25[3:0]<=9;cnt25[7:4]<=cnt25[7:4]-1;endif(cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[7:4]<=5;cnt25[11:8]<=cnt25[11:8]-1;endif(cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[11:8]<=9;cnt25[15:12]<=cnt25[15:12]-1;endif(cnt25[15:12]==0&&cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0) begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;carry<=1;endendendelse if(cnt_en==0&&load==0)begincnt25[3:0]<=cnt25[3:0];cnt25[7:4]<=cnt25[7:4];cnt25[11:8]<=cnt25[11:8];cnt25[15:12]<=cnt25[15:12];endelse if(cnt_en==0&&load==1)begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;endendwire rst_n=1'b1;wire [15:0]x;assign x[3:0]=cnt25[3:0];assign x[7:4]=cnt25[7:4];assign x[11:8]=cnt25[11:8];assign x[15:12]=cnt25[15:12];reg[18:0]clkdiv;always @(posedge clk or negedge rst_n)begin if(!rst_n)clkdiv<=19'd0;elseclkdiv<=clkdiv+1;endwire [1:0]bitcnt;assign bitcnt=clkdiv[18:17];always @* beginif(!rst_n)an=4'd0;elsean=4'd0;an[bitcnt]=1;endreg [3:0]digit;always@(*)beginif(!rst_n)digit=4'd0;elsecase(bitcnt)2'd0:digit=x[3:0];2'd1:digit=x[7:4];2'd2:digit=x[11:8];2'd3:digit=x[15:12];default:digit=4'd0;endcaseendalways @(*) beginif(!rst_n)a_to_g=7'b1111111;elsecase(digit)0:a_to_g=7'b1111110;1:a_to_g=7'b0110000;2:a_to_g=7'b1101101;3:a_to_g=7'b1111001;4:a_to_g=7'b0110011;5:a_to_g=7'b1011011;6:a_to_g=7'b1011111;7:a_to_g=7'b1110000;8:a_to_g=7'b1111111;9:a_to_g=7'b1111011;4'b1111:a_to_g=7'b0000000;default a_to_g=7'b1111110;endcaseendendmodule2.RTL分析3.仿真波形4.实验结果实验演示见附件中的视频。

电子技术应用实验(数字电路基础实验)_电子科技大学中国大学mooc课后章节答案期末考试题库2023年

电子技术应用实验(数字电路基础实验)_电子科技大学中国大学mooc课后章节答案期末考试题库2023年

电子技术应用实验1(数字电路基础实验)_电子科技大学中国大学mooc课后章节答案期末考试题库2023年
1.用示波器只观察信号中的交流成分时,输入耦合应选择。

答案:
交流耦合
2.用双踪示波器同时测试频率不同、周期成整数倍的两个时序波形时,当某
信号显示不同步时可能需要。

答案:
调节触发释抑时间
重新选择示波器的触发源
调节示波器的触发电平位置
3.示波器探头设置中,在探头比为10х时的输入电容比探头比为1X时大。

答案:
错误
4.示波器探头衰减开关置为时,输入阻抗更大。

答案:
10X档
5.74LS163工作于计数状态,CP为2KHzTTL信号。

若用四个发光二极管去
看74LS163的四个输出端,四个发光二极管看起来。

答案:
常亮。

《数字逻辑》(数字电子技术基础)【五版】实验报告

《数字逻辑》(数字电子技术基础)【五版】实验报告
1、仪器
数字万用表、双踪示波器。
2、器件
74LS138 74LS151 74LS20 三、实验原理 译码的功能是将具有特定含义的二进制码进行辨别,并转换成控制信号,具有译码功 能的逻辑电路称为译码器。译码器在数字系统中有广泛的应用,不仅用于代码的转换,终 端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同 种类的译码器。下图表示二进制译码器的一般原理图: 3-8 线译码器 8 选 1 数据选择器 四输入端二与非门 2片 1片 1片
;ION=
;PON =

(6) 空载截止功耗POFF: 如图 1-9 所示,将芯片所有输入端接地,从 +5V 电源输出处用万用表测出电流IOFF, 就可以按下式求出空载截止功耗POFF:
POFF =VCC ·IOFF
VCC=
(7) 扇出系数NO
要求:在下面空白区域写出数据选择器的逻辑函数表达式、逻辑函数的最简式,做逻辑函数得变换, 画出逻辑电路图,并记录实验数据。
S1
S0
-8-
五、实验数据处理与分析、并总结组合逻辑电路的设计方法。 实验数据处理与分析、并总结组合逻辑电路的设计方法。 路的设计方法
组合逻辑电路的设计流程如图 2-1 所示。 先根据实际的逻辑问题进行逻辑抽象,定义逻 辑状态的含义,再按照要求给出事件的因果关
系列出真值表。然后用代数法或卡诺图化简,求出最简的逻辑表达式。并按照给定的逻辑 门电路实现简化后的逻辑表达式,画出逻辑电路图。最后验证逻辑功能。 四、实验内容及步骤 1、设计一个半加器,其输入为A、B 为两个加数,输出为半加和S 及进位C。 根据要求用小规模集成器件与非门设计出最简的逻辑电路。 并用 TTL 与非门组成上面 的逻辑电路。输入接逻辑开关,输出接逻辑电平显示端口,验证其逻辑功能。

多功能数字计时器实验报告.

多功能数字计时器实验报告.

多功能数字计时器实验报告姓名:***学号:************专业:信息对抗指导老师:***实验时间:2015年9月18日目录1.电路基础功能设计要求介绍2.电路原理简介3.单元电路设计3.1脉冲发生电路3.2计时电路3.3译码显示电路3.4清零电路3.5校分电路3.6报时电路4.总电路图5.拓展电路5.1启停电路5.2动态显示电路6.附录6.1元件清单6.2芯片引脚图和功能表7.实验感受与体会8.参考文献一、电路基础功能设计要求介绍设计制作一个0分00秒~9分59秒的多功能计时器,设计要求如下:a.设计一个脉冲发生电路,为计时器提供秒脉冲(1HZ),为报时电路提供驱动蜂鸣器的高低脉冲信号(1KHZ、2KHZ);b.设计计时电路:完成0分00秒~9分59秒的计时、译码、显示功能;c.设计清零电路:具有开机自动清零功能,并且在任何时候,按动清零开关,可以对计时器进行手动清零.d.设计校分电路:在任何时候,拨动校分开关,可进行快速校分.(校分隔秒)e.设计报时电路:使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz)f.系统级联.将以上电路进行级联完成计时器的所有功能.二、电路原理简介工作原理:由振荡器产生的稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准秒脉冲.秒个位计数器记满10后向秒十位计数器进位,秒十位计满6后向分进位同时置零. 计数器的输出经译码器送显示器.记时出现误差时可以用校时电路进行校分.扩展电路必须在主体电路正常运行的情况下才能进行功能扩展。

数字计时器由计时电路、译码显示电路、脉冲发生电路、校分电路、清零电路和报时电路这几部分组成。

其原理框图如下:三、单元电路设计1.脉冲发生电路脉冲信号发生电路完成为计时电路提供计数脉冲的功能。

实验中采用32768Hz的石英- 4 - 晶体多谐振荡器作为脉冲信号源。

电子线路课设报告病人呼叫大夫的电路设计、加法电路的设计、用74ls90实现十进制计数器的设计与制作

电子线路课设报告病人呼叫大夫的电路设计、加法电路的设计、用74ls90实现十进制计数器的设计与制作

目录1 引言 (3)2 Multisim9简介 (4)2.1 Multisim特点 (4)2.2 Multisim9简介 (4)3 电路设计 (5)3.1病人呼叫大夫的电路设计 (5)3.1.1设计任务 (5)3.1.2实验原理及思路分路 (5)3.1.374ls148编码器 (5)3.1.4电路图及仿真结果 (6)3.2加法电路的设计 (6)3.2.1设计任务 (7)3.2.2实验原理及框图 (7)3.2.3电路图及仿真结果 (7)3.3用74ls90实现十进制计数器的设计与制作 (8)3.3.1设计任务 (8)3.3.2 74ls逻辑功能 (9)3.3.3电路仿真 (9)3.4数码管显示控制电路的设计 (11)3.4.1设计任务 (11)3.4.2实验原理及设计分析 (11)3.4.3电路图及仿真结果 (13)3.5灯控电路的设计 (14)3.5.1设计任务 (14)3.5.2电路分析及原理框图 (15)3.5.3电路仿真 (15)3.6 直流稳压源的电路设计 (17)3.6.1设计任务 (17)3.6.2实验原理及思路分析 (18)3.6.3电路仿真 (18)4 总结和体会 (20)致谢 (21)参考文献 (22)1 引言1.1引言随着时代的发展,计算机技术在电子电路设计中发挥着越来越大的作用。

传统的电子线路设计开发,通常需要制作一块试验板或在面包板上来进行模拟实验,以测试是否达到设计指标要求;并且需要反复试验、调试,才能设计出符合要求的电路。

这样做,既费时又费力,同时也提高了设计成本;另外,因受工作场所、仪器设备等因素的限制,许多试验(例如理想化、破坏性的实验)不能进行。

随着计算机硬件与软件的发展,解决以上问题的计算机仿真技术应运而生。

利用计算机仿真软件,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出版印刷板的整个过程在计算机软件上自动处理完成。

电子技术应用实验(数字电路基础实验)_电子科技大学中国大学mooc课后章节答案期末考试题库2023年

电子技术应用实验(数字电路基础实验)_电子科技大学中国大学mooc课后章节答案期末考试题库2023年

电子技术应用实验1(数字电路基础实验)_电子科技大学中国大学mooc课后章节答案期末考试题库2023年1.电源电压为5V的TTL门电路的阈值电压最接近以下哪个值?参考答案:1V2.电源电压为5V的CMOS门电路的阈值电压大约为多少?参考答案:2.5V3.用芯片74LS00(4个2输入与非门)实现反相器,未使用的引脚应该如何处理?参考答案:接另一个输入引脚_直接悬空_接5V电源4.在测量电源电压Vcc时,实验箱上指示灯亮,用万用表测量电源电压为5V,但示波器测出为0V,原因可能是示波器探头耦合方式未设置为()。

参考答案:直流5.在Verilog语言中assign语句是?参考答案:连续赋值语句6.关于Verilog语言中的always语句不正确的是?参考答案:只有wire类型数据可以在这个语句中被赋值7.Vivado中仿真文件的后缀为?参考答案:.v8.74LS163工作于计数状态,CP为2KHzTTL信号。

若用四个发光二极管去看74LS163的四个输出端,四个发光二极管看起来。

参考答案:常亮9.示波器探头衰减开关置为时,输入阻抗更大。

参考答案:10X档10.示波器探头设置中,在探头比为10х时的输入电容比探头比为1X时大。

参考答案:错误11.用双踪示波器同时测试频率不同、周期成整数倍的两个时序波形时,当某信号显示不同步时可能需要。

参考答案:调节触发释抑时间_重新选择示波器的触发源_调节示波器的触发电平位置12.用示波器只观察信号中的交流成分时,输入耦合应选择。

参考答案:交流耦合13.若CD4511输入为4位二进制码1011,其驱动的七段显示器显示是参考答案:无显示14.74LS00用作反相器使用时,下列哪种连接方法正确?参考答案:一个输入端接信号,另一个输入端接高电平_一个输入端接信号,另一个输入端悬空_两个输入端并接信号15.用示波器测量某点的直流电压值,示波器需要做以下哪些设置?参考答案:通道探头的设置和探头上的开关设置相对应_输入耦合方式为直流_对应通道的0V标志在屏幕上_能够在屏幕上看到该直流信号16.在测试74X139的逻辑功能实验中,当G'、A1、A0分别接0、1、0时,哪个端口的输出有效()。

数字电路技术实验之计数器

数字电路技术实验之计数器

实验七计数器一、实验目的1. 熟悉中规模集成计数器的逻辑功能及使用方法。

2. 掌握用中规模集成计数器构成任意进制计数器的方法。

3. 学习用集成触发器构成计数器的方法。

二、实验原理计数器是一个用以实现计数功能的时序部件,它不仅可以用来对脉冲计数,还常用作数字系统的定时、分频和执行数字运算以及其他特定的逻辑功能。

计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。

计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。

计数器种类很多,按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器;根据计数进制的不同,分为二进制计数器、十进制计数器和任意进制计数器;根据计数的增减趋势,又分为加法、减法和可逆计数器;如按预置和清除方式来分,则有并行预置、直接预置、异步清除和同步清除等;按权码来分,则有“8421”码,“5421”码、余“3”码等计数器及可编程序功能计数器等等。

目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数电路。

使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。

1.十进制计数器74LS90(二、五分频)74LS90是模二-五-十异步计数器。

具有计数、清除、置9功能。

74LS90包含M=2和M=5两个独立的下降沿触发计数器,清除端和置9端两计数器公用,没有预置端。

模2计数器的时钟输入端为A(CP1),输出端为Q A;模5计数器的时钟输入端为B(CP2)。

输出端由高位到低位为Q D、Q C、Q B;异步置9端为S91和S92,高电平有效。

即只要S91·S92=1,则输出Q D Q C Q B Q A为1001;异步清除端为R01和R02,当R01·R02=1,且S91·S92=0时,输出Q D Q C Q B Q A=0000;只有R01·R02=0,S91·S92=0,即两者全无效时,74LS90才能执行计数操作。

eda10进制计数器实验报告

eda10进制计数器实验报告

eda10进制计数器实验报告1B计算机组成原理教学实验箱一台,排线若干。

2) PC机一台。

3、实验步骤与源程序l) 根据该模型机的指令系统,编写一段程序。

这里给出两个参考程序。

参考程序一:本程序从输入设备(数码开关)取入数据,保存在内存单元08,然后从08单元送到输出设备(LED数码管)进行显示。

然后程序停止(请实验者考虑:如何修改程序,使程序不断从输入设备取出数据,送到输出设备显示。

每次循环过程中,可以使输入设备数据改变,考察输出显示的结果。

)。

设计机器指令程序如下(机器码为十六进制数据)。

地址内容助记符说明00 00 IN;输入开关数据→R00120 STA [08H] ;R0→[08]02 08 ;地址03 30 OUT [08H] ;[08H] →BUS04 08 ;地址05 40 JMP [00H] ;00H→PC06 00 ;跳转地址参考程序二:本程序从输入设备(数码开关)读入数据,与0A单元的数据相加,然后送到输出设备(LED数码管)进行显示。

本程序不断地循环运行,在运行中可改变输入开关(INPUT)的值,观察输出显示的变化。

设计机器指令程序如下(机器码为十六进制数据)。

地址内容助记符说明00 00 IN;输入开关数据→R0,采集数据0110 ADD [0AH] ;R0+[0AH]→R0,输入数据与指定数据相加02 0A ;地址0320 STA [0BH] ;R0→[0B]04 0B ;地址05 30 OUT [0BH] ;[0BH] →BUS,输出显示06 0B ;地址07 40 JMP [00H] ;00H→PC08 00 ;跳转地址0A 01 ;加数,可自定0B ;求和结果保存在0B单元2) 按图1连接实验线路。

3) 写程序:对于本实验箱可以用两种方法来写入程序。

方法一:手动写入(1)先将机器指令对应的微代码正确地写入2816中,由于在实验1.6微程序控制器的组成与微程序设计实验中已将微代码写入E2PR0M芯片中,对照表2—2校验正确后就可使用。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验十计数器
一、实验目的
1. 学习用集成触发器构成计数器的方法。

2. 熟悉中规模集成十进制计数器的逻辑功能及使用方法。

3. 学习计数器的功能扩展。

4. 了解集成译码器及显示器的应用。

二、实验原理
计数器是一种重要的时序逻辑电路,它不仅可以计数,而且用作定时控制及进行数字运算等。

按计数功能计数器可分加法、减法和可逆计数器,根据计数体制可分为二进制和任意进制计数器,而任意进制计数器中常用的是十进制计数器。

根据计数脉冲引入的方式又有同步和异步计数器之分。

1. 用D触发器构成异步二进制加法计数器和减法计数器:
图10—1是用四只D触发器构成的四位二进制异步加法计数器,它的连接触发器形式,再由低位触发器的?端和高一位的特点是将每只D触发器接成T Q CP 端相连接,即构成异步计数方式。

若把图10—1稍加改动,即将低位触发器的Q 端和高一位的CP端相连接,即构成了减法计数器。

1
—图10 74LS74A触发器型号为,引脚排列见前述实验。

本实验采用的D 2. 中规模十进制计数器中规模集成计数器品种多,功能完善,通常具有予置、保持、计数等多种可以执行十进制加法和同步十进制可逆计数器具有双时钟输入,
74LS182功能。

??2所示。

其中10减法计数,并具有清除、置数等功能。

引脚排列如图—LD DO非同步进位输出端;CP??CP置数端;加计数端;??减计数端;??Du CO??非同步借位输出端;Q、Q、Q、Q??计数器输出端;D、D、D、CBDBACA D??数据输入端;CR??清除端。

D表10—1为74LS192功能表,说明如下:
当清除端为高电平“1”时,计数器直接清零(称为异步清零),执行其它功能时,CR置低电平。

置数端为低电平时,数据直接从置数端D、D、当CR为低电平,D、LD CBA D置入计数器。

D为低电平,CR为高电平时,执行计数功能。

执行加计数时,减计数当LD端CP接高电平,计数脉冲由加计数端Cp输入,在计数脉冲上升沿进行842uD编码的十进制加法计数。

执行减计数时,加计数端CP接高电平,计数脉冲由减u计数端CP输入,在计数脉冲上升沿进行8421编码十进制减法计数。

表10—2D为8421码十进制加、减计数器的状态转换表。

2 —图101
3. 计数器的级联使用
一只十进制计数器只能表示0—9十个数,在实际应用中要计的数往往很大,一位数是不够的,解决这个问题的办法是把几个十进制计数器级联使用,以扩大计数范围。

如图10—3所示为有两只74LS192构成的加计数级联电路图,CO 接到高一位计数器端接计数脉冲,进位输出端连接特点是低位计数器的CP u的CP端。

在加计数过程中,当低位计数器输出端由1001(g)变为0000(g)时,10u10
CO输出一个上升沿,送到高一位的CP端,使高一位计数器加1进位输出端,u 也就是说低位计数器每计满个位的十个数,则高位计数器计一个数,即十位数。

同理,在减计数过程中,当低位计数器的输出端由0000(0)变到1001(9时,)1010.BO输出一个上升沿,送到高一位的CP端使高一位减1。

借位输出D4. 实现任意进制计数
利用中规模集成计数器中各控制及置数端,通过不同的外电路连接,使该计数器成为任意进制计数器,达到功能扩展的目的。

图10—4为利用74LS192的置数端的置数功能构成五进制加法计数器的原理图,状态转换表如表10LD—3所示。

它的工作过程是:预先在置数输入端输入所需的数,本例为DDDD=0000。

假该计数器从0000状态开始按8421编码计数,当输出状态ACDD达到0100后再来一个计数脉冲,计数器输出端先出现QQQQ=0101,此时与ABCD非门输出立刻变为低电平,于是四位并行数据DDDD=0000被置入计数器中,ABCD即
QQQQ=0000,实现了五进制计数,紧接LD恢复高电平,为第二次循环ADBC作好准备。

这种方法的缺点是置数时间太短及利用了一个无效态,可能会造成译码,显示部分产生误动作,此时,应采取措施消除之。

表10—2
输入脉冲数输出
Q Q Q Q ACBD0 0 0 0 0
1 1 0 0 0
0 0 1 0 2
1 1 0 3 03
1表
C P QQ QQ A C B D
0 0 0 0 0
1 0 1 0 0
0 2 0 0 1
1 0 0 1 3
0 0 1 0 4
1 0 1 0 5
0 0 0
译码及显示5.
计数器输出端的状态反映了计数脉冲的多少,为了把计数器的输出显示为相应的数,需要接上译码器和显示器。

计数器采用的码制不同,译码器电路也不同。

二??十进制译码器用于将二??十进制代码译成十进制数字,去驱动十进制的数字显示器件,显示0—9十个数字,由于各种数字显示器件的工作方式不同,因而对译码器的要求也不一样。

中规模集成七段译码器CC4511用于共阴极显示器,可以与磷砷化LED数码管BS201或BS202配套使用。

4511可以把8421编码的十进制数译成七段输出a、b、c、d、e、f、g,用以驱动共阴极LED。

图10—5为LED七个字段显示示意图。

图10—6为计数、译码、显示的结构框图。

在实验台上已完成了译码CC4511和显示器BS202之间的连接,实验时只要将十进制计数器的输出端Q、Q、Q、Q直接连接到译码器的相应输入端A、DBCA B、C、D 即可显示0—9个数字。

4
—10图 3 —10图
6
10—图图10—5
三、实验设备与器件示波器 2. 组件 1. EEL—08输入四2×2、74LS74×2、同步十进制可逆计数器74LS1923. 双D触发器1
×与门74LS00
四、实验内容触发器构成四位二进制异步加法计数器。

1. 用74LS74D触发器,验证逻辑功能,待各触 触发器接成T(1)取两片74LS74,先把D R CP端接逻辑开关,7最低位的—1连接。

发器工作正常后,再把它们按图D S为防
止干扰各触发器接电平指示器。

端接单次脉冲源,输出端Q—Q14D )。

电源处端
应接某固定高电平(可接+5V端逐个送入单次脉冲,观察并列表记录CP(2)清零后,由最低位触发器的状态。

Q—Q14、Q的连续脉冲,用双踪示波器观察CP、
(3)将单次脉冲改为频率为1KH Dz、QQ波形,描绘之。

Q、ABC端相连接,构成Q端和高一位的CP(4)将图10—1电路中的低位触发器的Q状态。

、(3)要求进行实验、观察并列表记录Q—减法计数器,按实验内容(2)AD 74LS192十进制可逆计数器的逻辑功能。

2. 测试、CRD、置数端、数据输入端计数脉冲由单次脉冲源提供,清零端LD A分别接实验台上译码相、Q、D、分别接逻辑开关,输出端Q、QQ、DD DDBCABC BOCD 1接0—10DCBA应输入端、、、及—指示器,指示器。

、逻辑功能,判断此集成块功能是否正常。

74LS192逐项测试1—10按表.
1)清除
令CR=1,其它输入为任意状态,这时QQQQ=0000,译码显示为0字。

ACDB清除功能完成后,置CR=0。

(2)置数
令CR=0,CP,CP任意,数据输入端输入任意一组二进制数Du,令=0,观察计数器输出dcbaDD=dcba是否已被置入?DD LD ACBD予置功能完成后,置=1。

LD(3)加计数
,=CP=1,CR=0CP接单次脉冲源。

LD un清零后由CP逐个送入10个单次脉冲,观察Q—Q及CO状态变化及数ADu码显示情况,观察输出状态变化是否发生在CP的上升沿。

并用示波器观察CP、uu Q、Q、Q、Q波形。

ADCB(4)减计数
,=CP=1,CR=0CP接单次脉冲源。

LD Du参照(3)进行实验。

3. 用两片74LS192组成两位十进制加法计数器。

接图10—3连接实验电路。

输入计数脉冲,进行由00—09累加计数,记录之。

4. 将两位十进制加法计数器改接成两位十进制减法计数器。

实现由99—00递减计数,记录之。

5. 用74LS192及74LS00构成六进制加法计数器。

按自拟电路连接实验电路。

(1)逐个送入单脉冲,观察并记录之。

(2)观察数码显示有否异常现象?如有,分析产生误动作原因,并提出解决办法。

五、实验报告
1. 整理实验数据,并画出波形图。

2. 总结用中规模集成计数器构成任意进制计数器的方法。

3. 对实验中异常现象分析。

六、预习要求
1. 复习有关计数器部分内容。

拟出实验中所需测试表格。

2.
3. 画出用两片74LS192构成两位十进制减法计数器电路图。

4. 画出用74LS192及74LS00构成六进制加法计数器电路图。

注:CC40192同步十进制加/减计数器性能与74LS192相同,可互换使用,CC40192引脚排列如图10—4,功能表如表10—4。

本实验如全部采用CMOS集成块,建议选用下列器件:与非门:2输入四与非门CC4011
D触发器:双D触发器CC4012
计数器:BCD可予置数加/减计数器CC—40192
数a
减计数图10—7。

相关文档
最新文档