开题报告自动打铃系统模板

合集下载

自动打铃系统设计样本

自动打铃系统设计样本

<<综合课程设计>>自动打铃系统设计报告题目: 自动打铃系统专业: 电子信息工程年级:学号:学生姓名:联系电话:指引教师:完毕日期: 12月30日自动打铃系统摘要在现如今快节奏生活中, 人们对于时间规定越来越苛刻, 诸多时候需要对时间规划, 然后届时间点就要有时间提示, 这就必要用届时中提示装置, 亦可称为打铃装置。

打铃装置有诸各种, 例如手机打铃系统, 闹钟机械打铃系统, 广播打铃系统等等, 但是寻常生活中见得最多还是校园自动打铃系统。

在学校生活中, 每天上课都离不开打铃系统使用。

打铃器可觉得上下课学生和教师们提供时间提示, 有助于师生对上课和学习合理安排。

同事也可以作为一种提示学生作息时间时间表, 让教师和学生均有一种规律科学时间安排。

因而, 打铃系统核心某些也是时钟某些, 为系统提供时间基准。

本设计重要是针对合用于校园打铃系统规定, 其简介了一种基于单片机自动打铃系统设计办法, 系统以STC89C52单片机为控制器, 以DS1302时钟芯片为系统提供时间, 并在1602液晶显示屏上显示, 通过按键可以设定定期打铃时间和打铃间隔。

系统软件设计采用C语言来完毕, C语言语法简洁, 使用以便, 用于完毕软件设计非常以便。

核心词: 打铃器、STC89C52单片机、DS1302、LCD1602ABSTRACT. I.today'.fast-pace.life, peopl.ar.mor.an.mor.requirements, i.man.case.nee.tim.t.plan, an.the.t.poin.i.tim.wil.hav.tim.t.remind, e.t.remin.device, als.know.a.Bel.equipment.Bel.device.ther.ar.many, suc.a.phon.ringin.system, th.mechanica.Bel.alar.cloc.system, broadcas.th.Bel.System, an.s.on, bu.i.everyda.lif.u.t.th.schoo.bel.syste.automatically.I.schoo.life,e.i.clas.ever.day.Bel.ca.provid.reminde.o.student.an.teacher.t.an.fro.sc hool,e.a.a.aler.s tuden.hour.schedule, w.o.science...Accordingly, Bel.cloc.i.th.cor.par.o.th.system,provid..tim.referenc.fo.th.system.Designe.primaril.fo.th.Bel.Syste.requirement.appl.t.th.campus, an.introduce.a.automati.Bel.syste.base.o.single-chi.desig.methods,system.wit.STC89C5.single-chi.controller, DS130.provide.th.syste.tim.cloc.chip, i.160.an.displaye.o.th.LC.b.pressin.th.se.ringin.an.ringin.i.interval.o.tim.o..regula.basis.Syste.softwar plete, .languag.synta.i.simple, e, pletin..softwar.design.Key Words: t Bell, DS1302, collector, STC89C52 single-chip LCD1602目录摘要 ................................................................................................... 错误!未定义书签。

学校打铃系统的研究设计与实现

学校打铃系统的研究设计与实现

甘肃畜牧工程职业技术学院毕业论文(设计)学校自动打铃系统的设计与实现系别:电子信息工程系专业:电子信息工程技术班级:电子信息08.1学生姓名:王建辉指导老师:李贤山完成时间:2010年11月目录毕业论文(设计)任务书.......................................... - 1 - 开题报告........................................................ - 2 - 摘要............................................................ - 4 - 关键词.......................................................... - 5 - 第一章概述.. (1)1.1PLC的结构与特点。

(1)1.2可编程控制器的发展 (1)1.3PLC的应用领域 (2)1.4PLC的国内状况 (3)1.5PLC未来展望 (3)1.6PLC的软件组成 (3)1.7PLC的构成 (4)第二章程序设计 (5)2.1设计软件 (5)2.2主程序设计 (7)2.3硬件设计 (16)第三章实验结果 (20)3.1实现电子钟程序 (20)3.2实现打铃 (20)3.3设计中的问题和解决 (20)第四章总结 (22)第五章心得 (23)参考文献 (24)致谢 (25)毕业论文(设计)任务书开题报告一.课题名称学院自动打铃系统控制二.课题来源指导老师提供。

三.本课题研究目的和意义随着科学技术经济的不断发展,微电子技术、计算机技术和自动控制技术在生活中得到广泛的使用,自动化控制技术已经进入一个崭新的时代,其应用越来越广。

而学院的打铃系统作为准确提示学生作息时间的装置,与同学们的生活紧密相关,随着人们对其要求的提高,打铃系统得到了快速发展。

其多元化、智能化程度已经发展到了可以编程实现的高水平,其逻辑控制也由PLC代替原来的继电器控制。

自动打铃EDA设计报告

自动打铃EDA设计报告

考试序号:##自动打铃系统设计说明书学生姓名:###学号:########专业班级:#######报告提交日期:湖南理工学院物电学院目录第一部分:设计题目及总体要求简介........................................ 错误!未定义书签。

1.设计题目:自动打铃系统设计。

..................................... 错误!未定义书签。

2.设计要求简介: ................................................................. 错误!未定义书签。

第二部分:设计方案说明............................................................ 错误!未定义书签。

设计方案:............................................................................. 错误!未定义书签。

第三部分:各部分功能介绍及程序(部分)............................ 错误!未定义书签。

1. 系统框图 ...................................................................... 错误!未定义书签。

2. 选择的FPGA芯片及配置 ........................................... 错误!未定义书签。

3. 各模块(元件)说明 .................................................. 错误!未定义书签。

▪各子模块说明 ................................................................ 错误!未定义书签。

打铃系统结题报告

打铃系统结题报告

成都信息工程学院电子工程学院[电子系统综合设计]总结报告题目:电子打铃系统专业:生物医学工程班级:2010级01班姓名:苏泽华徐波张列指导教师:陆继庆评分:2012年11月23日目录1 项目计划 (1)1.1项目分析 (1)1.2方案可行性分析 (1)1.2.1 元器件可行分析 (1)1.2.2 市场可行分析 (1)1.2.3 法律可行分析 (1)1.3项目市场及风险 (1)1.4项目经费预算 (2)1.5执行计划 (2)1.5.1 产品生产计划 (2)1.5.2 产品的功能计划 (2)2 设计说明 (2)2.1设计原理框架图 (2)2.2设计原理图 (3)2.2.1 时钟电路 (3)2.2.2 电源电路 (3)2.2.3 电铃电路 (4)2.2.4 显示电路 (4)2.2.5 按键电路 (4)2.2.6 复位电路 (5)2.2.7 供频电路 (5)2.3程序流程图 (5)2.4部分程序代码及注释 (6)3 调试说明 (11)3.1调试须知 (11)3.2调试前的准备 (12)3.2.1 仪器,仪表,工具等的准备 (12)3.2.2 电路板的样板以及有关设计文件的准备 (12)3.3通电前的检查 (13)3.3.1 外电路整体检查 (13)3.3.2 对设备内部电源线的引线端进行是否对地短路检查 (13)3.4未插芯片电路板的通电检查 (13)3.4.1 是否有元器件骤然发烫现象 (14)3.4.2 是否有烧焦等怪味 (14)3.5通电检查 (14)4 总结 (14)参考文献 (15)附录 (15)1项目计划1.1项目分析电子打铃系统是一款在生活中非常实用的系统。

它不仅能代替之前一切的人工打铃,而且还能做人们无法做到的某些功能。

它的出现不只是节省了劳动力的问题,主要它的精确度大大提高。

它的优势有很多。

首先,组成它的硬件不多,所以它的体积不大。

而且这些硬件元器件的价格不算高,我们能以很低的成本就能生产出成品。

FPGA设计实践课程设计报告打铃系统

FPGA设计实践课程设计报告打铃系统

上海电力学院课程设计报告课程设计名称:FPGA设计实践课程设计设计课题名称:自动打铃系统班级:2007142 指导老师:赵倩姓名:徐晓敏学号:20072702 成绩:设计时间:设计地点:实验室303计算机信息与工程学院自动打铃系统报告一、设计目的:使用Quartus II完成自动打铃系统的设计二、实验器材和工具软件:Pc机使用Quartus II系统De2平台三、设计内容:1. 基本计时和现实功能(用12小时制显示):包括上下午标志,时、分的数字显示,秒信号显示。

2. 可以自由设置当前时间(包含上、下午,时、分)。

3. 可以实现基本的打铃功能,规定:上午06:00起床铃,打铃5s,停2s,再打铃5s;下午10:30熄灯铃,打铃5s,停2s,再打铃5s。

铃声可以用LED灯光显示,如果实验装置没有LED发光管,那么可以用七段显示管的小数点显示,也可以用显示小时的十位数码管的多余段显示。

凡是用到铃声功能的均可以如此处理。

4. 增加整点报时功能,整点时响铃5s。

要求有控制启动和关闭功能。

5. 增加调整起床铃、熄灯铃时间的功能。

6. 增加调整打铃时间长短和间隙时间长短的功能。

7. 增设上午4节课的上、下课打铃功能,规定:7:30上课,8:20下课8:30上课,9:20下课9:40上课,10:30下课10:40上课,11:30下课。

每次铃响5s。

四、设计具体步骤:1、完成各个模块的设计,其具体代码如下:module clock (clock, en, adjusth, adjustm, adjusts, adjustpm, second, minute, hour);input clock;input en;input adjusth;input adjustm;input adjusts;input adjustpm;output[4:0] hour;reg[4:0] hour;output[5:0] minute;reg[5:0] minute;output[5:0] second;reg[5:0] second;always @(posedge clock)beginif (en == 1'b1)beginif (adjustpm == 1'b0)beginif (hour < 12)beginhour <= hour + 12 ;endelsebeginhour <= hour - 12 ;endendelse if (adjusth == 1'b1)beginif (hour == 23)beginhour <= 0 ;endelsebeginhour <= hour + 1 ;endendelse if (adjustm == 1'b1)beginif (minute == 59)beginminute <= 0 ;endelsebeginminute <= minute + 1 ;endendelse if (adjusts == 1'b1)beginsecond <= 0 ;endendelsebeginif (second == 59)beginsecond <= 0 ;if (minute == 59)beginminute <= 0 ;if (hour == 23)beginhour <= 0 ;endelsebeginhour <= hour + 1 ;endendelsebeginminute <=minute + 1 ;endendelsebeginsecond <= second + 1 ;endendendendmodulemodule statemachine (clock, changemode, adjposition, adjval, command, adjbotton, flash);input clock;input changemode;input adjposition;input adjval;output [4:0] command;reg[4:0] command;output[2:0] adjbotton;reg[2:0] adjbotton;output[2:0] flash;reg[2:0] flash;parameter[2:0] timer = 0;parameter[2:0] adj_timer = 1;parameter[2:0] adj_morningtime = 2;parameter[2:0] adj_eveningtime= 3;parameter[2:0] adj_ringlength = 4;parameter[2:0] adj_12or24 = 5;reg[2:0] state;reg[1:0] pos;always @(posedge clock) //mo kuai 1 gong neng shi tong guo changemode de an xia lai gai bian zhuang taibegincase (state) //case statetimer : //timer 0 shi beginif (changemode == 1'b0) // beginstate <= adj_timer ;endendadj_timer :beginif (changemode == 1'b0) beginstate <= adj_morningtime ; endendadj_morningtime :beginif (changemode == 1'b0) beginstate <= adj_eveningtime ; endendadj_eveningtime :beginif (changemode == 1'b0) beginstate <= adj_ringlength ; endendadj_ringlength :beginif (changemode == 1'b0) beginstate <= adj_12or24 ;endendadj_12or24 :beginif (changemode == 1'b0) beginstate <= timer ;endenddefault :beginstate <= timer ;endendcaseendalways @(posedge clock) //mo kuai 2begincase (state)timer : //zheng chang ji shi shibegin //command <= 5'b00000 ; //shu chu command wei 00000pos <= 0 ; //pos wei 0endadj_timer : //tiao zheng shi jian shibeginif (command == 5'b00000) //ru guo dang qian wei zheng chang ji shi zhuang tai begin//command<=5'b00001;pos <= 1 ; // pos wei 1endelsebegin //ru guo bu shi zheng chang ji shi zhuang taiif (adjposition == 1'b0) //erqie ci shi adiposition wei 1beginif (pos == 3) //erqie pos wei 3beginpos <= 1 ; //pos wei 1endelsebegin //pos bu wei3 de hua pos =pos +1pos <= pos + 1 ;endendendcommand <= 5'b00001 ; //zuo wan shang mian zhi hou command wei 00001 endadj_morningtime : //tiao zheng qi chuang shi jian zhuang taibeginif (command == 5'b00001) //ruguo dang qian wei tiao zheng shi jian zhuang tai beginpos <= 1 ; //pos wei 1endelsebeginif (adjposition == 1'b0) //fou zhe ru guo adjposition wei 1beginif (pos == 1) //erqie pos wei 1beginpos <= 2 ; //pos wei 2endelsebeginpos <= 1 ; //fou ze pos bu wei 1 shi pos wei 1endendendcommand <= 5'b00010 ;// zuo wan zhi hou command shu chu wei 00010 tiao zheng xi deng zhuang taiendadj_eveningtime :beginif (command == 5'b00010) // tiao wan shang shi jian de zhuang tai dang command wei 00010 shibeginpos <= 1 ; //pos wei 1endelsebeginif (adjposition == 1'b0) //command bu wei 00010 shi adjposition wei 1 shi beginif (pos == 1) //ru guo pos wei 1beginpos <= 2 ; //pos wei2endelsebeginpos <= 1 ; //fou ze wei 1endendendcommand <= 5'b00100 ; //zhi hou command shu chu wei 00100endadj_ringlength : //tiao zheng xiang liang zhuang taibeginif (command == 5'b00100) //ru guo command wei 00100beginpos <= 1 ; //pos wei1endelsebeginif (adjposition == 1'b0) //fou ze ru guo command bu shi 00100 qie adjposition wei 1beginif (pos == 1) //qie pos wei 1beginpos <= 3 ; //pos wei 3endelsebeginpos <= 1 ; //fou ze pos wei 1endendendcommand <= 5'b01000 ; //zui hou shu chu wei 01000endadj_12or24 : //ru guo dang qian zhuang tai weibeginpos <= 2 ; //pos wei 2command <= 5'b10000 ; //command shu chu wei 10000enddefault :beginpos <= 0 ; //fou ze zui hou pos wei 0command <= 5'b00000 ; //comman wei 00000endendcasecase (pos) //pos de case0 :begin //pos wei 0 shiflash <= 3'b000 ; //flash wei 000adjbotton <= 3'b000 ; //adjbotton wei 000end1 : //pos wei 1beginflash <= 3'b100 ; //flash wei 100if (adjval == 1'b0) //ru guo adjval wei 1beginadjbotton <= 3'b100 ; //adjbotton wei 100endelsebeginadjbotton <= 3'b000 ; //fou ze wei 000endend2 : //ru guo pos wei 2beginflash <= 3'b010 ; //flash wei 010if (adjval == 1'b0) //ru guo adjval wei 1beginadjbotton <= 3'b010 ; //adjbotton wei 010endelsebeginadjbotton <= 3'b000 ; //fou ze wei 000endend3 :beginflash <= 3'b001 ; //pos wei 3 shi flash wei 001 if (adjval == 1'b0) //ru guo adjvalbeginadjbotton <= 3'b001 ; //adjbotton wei 001endelsebeginadjbotton <= 3'b000 ; //fou ze wei 000endenddefault :beginflash <= 3'b000 ; //fou ze zui hou flash wei 000 adjbotton <= 3'b000 ; //adjbotton wei 000endendcaseendendmodulemodule displaycontroller (clock, enchange, change, enring, command, hour, getuphour, sleephour, minute,enalarm, getupminute, sleepminute, second, ringtime, pausetime, numa, numb, numc, pm, ring);input clock;input enchange;input change;input enring;input[4:0] command;input[4:0] hour;input[3:0] getuphour;input[3:0] sleephour;input[5:0] minute;input[5:0] getupminute;input[5:0] sleepminute;input[5:0] second;input[4:0] ringtime;input[3:0] pausetime;output[5:0] numa;reg[5:0] numa;output[5:0] numb;reg[5:0] numb;output[5:0] numc;reg[5:0] numc;output pm;reg pm;output ring;reg ring;output enalarm;wire enalarm;reg display24;reg enablealarm;wire[5:0] time1;wire[5:0] time2;always @(posedge clock)beginif (enchange == 1'b1) //zong kai shi kong zhibeginif (change == 1'b1) //bian hua wei yibegindisplay24 <= ~display24 ; //endendif (enring == 1'b0 & command == 5'b00000) //command mo shi ling sheng shi neng yu foubeginenablealarm <= ~enablealarm; //endendalways @(negedge clock) //bing xing yun xing mo kuaibegincase (command) //case tiao xuan yu ju5'b00000, 4'b0001 : //dang command deng yu 00000huo zhi 0001 shi beginif (display24 == 1'b0) //display24 wei 0 shi wei am pa xian shibeginif (hour >= 12)beginnuma <= hour - 12 ; //hour >12 pm wei yipm<= 1'b1 ;endelsebeginnuma <= hour ; //fou ze pm wei 0pm<= 1'b0 ;endendelsebeginnuma <= hour ; //display wei1 shipm<= 1'b0 ; //pm bu liang hour wei numaendnumb <=minute ; //fen wei numbnumc <= second ; //miao wei numc5'b00010 : //command wei 00010 shibeginpm<= 1'b0 ; //pm wei 0numa <= getuphour ; //xian shi de shi wei getuphournumb <= getupminute ; //xian shi de fen wei numbnumc <= 0 ;end5'b00100 : //command wei 00100 shi xian shi tiao zheng wan shui shi jian beginif (display24 == 1'b0) //dang display 12 dian shibeginnuma <= sleephour - 12 ; //xian shi ye yao bian cheng 12,qie shipm<= 1'b1 ;endelsebeginnuma <= sleephour ; //dang xian shi 24 xiao shi shi pm 0pm<= 1'b0 ;endnumb <= sleepminute ;numc <= 0 ;end5'b01000 : //command wei 01000 shibeginpm<= 1'b0 ; //24 xiao shi xian shinuma <= ringtime ; //numa xian shi xiang ling shi jian chang dunumb <= 60 ; //numb fu zhi 60numc <= pausetime ; //numb xian shi xiang ling zan ting shi jianend5'b10000 : //command wei 10000 shibeginpm<= 1'b0 ; //24 xiao shi xian shinuma <= 60 ; //numa 60 fu zhiif (display24 == 1'b0) //dang shi 12 xiao shi mo shibeginnumb <= 12 ; //numb xian shi 12endelsebeginnumb <= 24 ;// fou ze xin shi 24endnumc <= 60 ; //numc wei 60endbeginendendcaseendalways @(negedge clock) //xin de bing xing mo kuaibeginif (((hour == getuphour) & (minute == getupminute)) | ((hour == sleephour) & (minute == sleepminute)))begin //dang qi chuang huo zhe wan shui ling sheng shi jian shiif (second < ringtime) //qie dang miao xiao yu xiang ling chang du shibeginring <= 1'b1 ; //ling sheng xiang qiendelse if (second < time1) //huo dang miao xiao yu time1 shi xiang ling guan diaobeginring <= 1'b0 ;endelse if (second < time2) //huo dang miao xiao yu time2 shi xiang ling kai qi beginring <= 1'b1 ;endelsebeginring <= 1'b0 ; //qi ta suo you shi hou xiang ling guan biendendelse if ((hour == 7 & minute == 30) | (hour == 8 & minute == 20) | (hour == 8 & minute == 30) | (hour == 9 & minute == 20) | (hour == 9 & minute == 40) | (hour == 10 & minute == 30) | (hour == 10 & minute == 40) | (hour == 11& minute == 30))beginif (second < 5) //huo dang te dian shi jian shi xiang ling 5 wei nei you xiao beginring <= 1'b1 ;endelsebeginring <= 1'b0 ;endendelse if (enablealarm == 1'b1 & minute == 0 & second < 5)begin //enablealarm wei 1 fen wei 0 miao xiao yu 5 xiangring <= 1'b1 ;endelsebeginring <= 1'b0 ;endendassign time1 = ringtime + pausetime ; //time1 wei yi ge xiang tong jia yi ge ting tongassign time2 = ringtime * 2 + pausetime ; //time2 wei liang ge xiang tong yi ge ting tongassign enalarm = enablealarm ;endmodulemodule fenwei (numin, numa, numb);input[5:0] numin;output[3:0] numa;wire[3:0] numa;output[3:0] numb;wire[3:0] numb;wire[3:0] shi;assign shi = (numin == 60) ? 10 : (numin >= 50) ? 5 : (numin >= 40) ? 4 : (numin >= 30) ? 3 : (numin >= 20) ? 2 : (numin >= 10) ? 1 : 0 ;assign numb = (numin == 60) ? 10 : numin - shi * 10 ;assign numa = shi ;endmodulelibrary ieee;use ieee.std_logic_1164.all;entity num_7seg isport(c:in std_logic_vector(3 downto 0);hex:out std_logic_vector(6 downto 0));end num_7seg;architecture behave_num_7seg of num_7seg isbeginwith c(3 downto 0) select --hex<= "1000000" when "0000" , --"0""1111001" when "0001" , --"1""0100100" when "0010" , --"2""0110000" when "0011" , --"3""0011001" when "0100" , --"4""0010010" when "0101" , --"5""0000010" when "0110" , --"6""1111000" when "0111" , --"7""0000000" when "1000" , --"8""0010000" when "1001" ,--"9"--"0000000" when "1010" ,"1111111" when others; --" "end behave_num_7seg;module setringlength (clock, en, adjusth, adjusts, ringtime, pausetime); input clock;input en;input adjusth;input adjusts;output[4:0] ringtime;reg[4:0] ringtime;output[3:0] pausetime;reg[3:0] pausetime;always @(posedge clock) begin : xhdl_1reg[0:0] firsttime;if (firsttime == 0)beginringtime <= 5 ;pausetime <= 2 ;firsttime = 1;endelsebeginif (en == 1'b1)beginif (adjusth == 1'b1)beginif (ringtime == 20)beginringtime <= 1 ;endelsebeginringtime <= ringtime + 1 ; endendelse if (adjusts == 1'b1) beginif (pausetime == 10)beginpausetime <= 1 ;endelsebeginpausetime <= pausetime + 1 ; endendendendendendmodulemodule setringtime (clock, en1, en2, adjusth, adjustm, getuphour, getupminute, sleephour, sleepminute);input clock;input en1;input en2;input adjusth;input adjustm;output[3:0] getuphour;reg[3:0] getuphour;output[5:0] getupminute;reg[5:0] getupminute;output[3:0] sleephour;reg[3:0] sleephour;output[5:0] sleepminute;reg[5:0] sleepminute;always @(posedge clock)begin : xhdl_1reg[0:0] firsttime;if (firsttime == 0)begingetuphour <= 6 ;getupminute <= 0 ;sleephour <= 22 ;sleepminute <= 30 ;firsttime = 1;endelsebeginif (en1 == 1'b1)beginif (adjusth == 1'b1)beginif (getuphour == 11)begingetuphour <= 0 ;endbegingetuphour <= getuphour + 1; endendelse if (adjustm == 1'b1)beginif (getupminute == 59)begingetupminute <= 0 ;endelsebegingetupminute <= getupminute + 1; endendendif (en2 == 1'b1)beginif (adjusth == 1'b1)beginif (sleephour == 23)beginsleephour <= 12 ;endelsebeginsleephour <= sleephour + 1 ; endendelse if (adjustm == 1'b1)beginif (sleepminute == 59)beginsleepminute <= 0 ;endelsebeginsleepminute <= sleepminute +1 ; endendendendendmodulelibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity clk_1_gen isport(clkin :in std_logic;clkout:out std_logic);end clk_1_gen;architecture behave_clk_div8 of clk_1_gen isconstant N: Integer:=24999999;signal Counter:Integer RANGE 0 TO N;signal Clk: Std_Logic;beginprocess(clkin)beginif rising_edge(clkin) then --每计到4个(0~3)上升沿,输出信号翻转一次if Counter=N thenCounter<=0;Clk<=NOT Clk;elseCounter<= Counter+1;end if;end if;end process;clkout<= Clk;end behave_clk_div8;module fenxian5 (in,out0,out1,out2,out3,out4);input [4:0]in;output out0;output out1;output out2;output out3;output out4;assign out0=in[0];assign out1=in[1];assign out2=in[2];assign out3=in[3];assign out4=in[4];endmodulemodule fenxian3 (in,out0,out1,out2);input [2:0] in;output out0;output out1;output out2;assign out0=in[0];assign out1=in[1];assign out2=in[2];endmodulelibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity clkgen isport(clkin :in std_logic;clkout:out std_logic);end clkgen;architecture behave_clk_div8 of clkgen isconstant N: Integer:=4888888;signal Counter:Integer RANGE 0 TO N;signal Clk: Std_Logic;beginprocess(clkin)beginif rising_edge(clkin) then --每计到4个(0~3)上升沿,输出信号翻转一次if Counter=N thenCounter<=0;Clk<=NOT Clk;elseCounter<= Counter+1;end if;end if;end process;clkout<= Clk;end behave_clk_div8;2、根据各个模块进行连线3、引脚分配4、连接并显示实验结果五、设计收获以及存在的问题:在试验设计的过程中,我与同组的同学先是根据网上已有思路,看懂他们所设计的程序各个模块,再进行程序编写的。

单片机课程设计报告之自动打铃系统

单片机课程设计报告之自动打铃系统

单片机课程设计之自动打铃系统这是我们本学期的单片机课程设计题目,程序就是在昨天的数字钟的根底上增加了一些容,不想继续做了,还有一门考试要复习。

设计一台自动打铃系统一、设计任务用单片机器件为主体,设计一台自动打铃系统。

〔1〕按照设计标准,画出系统框图和系统硬件电路图。

〔2〕完成该课题的程序设计,提交程序设计框图及程序设计清单。

〔3〕提交课程设计报告二、设计要求〔一〕根本要求〔1〕根本计时和显示功能(用12小时制显示)。

包括上下午标志,时、分的数字显示,秒信号指示。

〔2〕能设置当前时间(含上、下午,时,分)〔3〕能实现根本打铃功能,规定:上午6:00起床铃:打铃5秒、停2秒、再打铃5秒。

下午10:30熄灯铃:打铃5秒、停2秒、再打铃5秒。

铃声可用小喇叭播放,但凡用到铃声功能的均按此处理〔二〕发挥局部〔1〕增加整点报时功能,整点时响铃5秒,要求有控制启动和关闭功能。

〔2〕增加调整起床铃、熄灯铃时间的功能。

〔3〕增设上午4节课的上下课打铃功能,规定如下:7.30 上课,8.20下课:8.30上课,9.20下课;9.40 上课,10.30下课;10.40上课,11.30下课;每次铃声5秒。

〔4〕特色和创新自选。

三、设计步骤〔1〕设计能正常工作的一个单片机最小硬件系统,外围电路包括设置键盘,LCD或LED的显示屏;〔2〕进展软件设计,利用单片机系统时钟先设计一个高精度的部时钟系统,最小准确时间为期1秒;〔3〕在秒计数器的根底上设计一个24小时时钟,并设计假设干定时功能;〔4〕设计打铃执行机构,完成自动打铃功能。

四、课程设计说明书要求后面略......公开我的主要设计资料:主程序流程图:汇编程序清单:/***************************************************************程序名称:51单片机自动打铃系统简要说明:实现24小时制电子钟,8位数码管显示,显示时分秒显示格式:23-59-59〔小时十位如果为0那么不显示〕到预定时间启动蜂鸣器模拟打铃,蜂鸣器BEEP:P3.7 打铃方式分起床、熄灯铃和上、下课铃两种系统使用4只按键,3只按键用来调整时间,fpc连接器,防水连接器,连接器论坛,供给.ljqw./sell另一只为强制打铃按钮调整选择键SET_KEY:P1.0;通过选择键选择调整位,选中位闪烁增加键ADD_KEY:P1.1;按一次使选中位加1 减少键DEC_KEY;P1.2;按一次使选中位减1 如果长按ADD_KEY或D EC_KEY,识别后那么进展调时快进,此时停顿闪烁如果选中位是秒,那么按增加键或减少键都是将秒清零强制打铃键DALING_KEY:P1.3;用来强制打铃或强制关闭铃声P0口输出数码管段选信号,P2口输出数码管位选信号。

课程设计报告---自动打铃系统

课程设计报告---自动打铃系统

目录一、设计任务和性能指标 (2)1.1设计任务 (2)1.2性能指标 (2)二、设计方案 (2)三、系统硬件设置 (3)3.1、单片机最小系统 (3)3.2时钟电路DS1302 (4)3.3、显示电路的设计 (5)3.4、键盘接口的设计 (5)3.5打铃电路的设计 (6)四、系统软件设计 (7)4.1程序流程图 (7)4.2主程序设计 (10)4.3显示子程序的设计 (11)五、调试及性能分析 (12)5.1调试步骤 (12)5.2性能分析 (12)六、心得体会 (12)参考文献 (13)附录1 系统硬件电路图 (14)附录2 程序清单 (15)一、设计任务和性能指标1.1设计任务用单片机器件为主体,设计一台自动打铃系统。

(一)基本要求1、基本计时和显示功能(用12小时制显示)。

包括上下午标志,时、分的数字显示,秒信号指示。

2、能设置当前时间(含上、下午,时,分)。

3、能实现基本打铃功能,规定:上午6:00起床铃:打铃5秒、停2秒、再打铃5秒。

下午10:30熄灯铃:打铃5秒、停2秒、再打铃5秒。

铃声可用小喇叭播放,凡是用到铃声功能的均按此处理。

(二)发挥部分1、增加整点报时功能,整点时响铃5秒,要求有控制启动和关闭功能。

2、增加调整起床铃、熄灯铃时间的功能。

3、增设上午4节课的上下课打铃功能,规定如下:7.30 上课,8.20下课:8.30上课,9.20下课;9.40 上课,10.30下课;10.40上课,11.30下课;每次铃声5秒。

4、特色和创新自选。

1.2性能指标1.时钟:上下午(1位)、时(2位) 、分(2位)2.校对键:确认键/设置键、右移键/灭铃键、加键、减键3.响铃:蜂鸣器二.设计方案二、设计方案按照系统设计的功能的要求,初步确定设计系统由主控模块、时钟模块、显示模块、键扫描接口电路共四个模块组成,电路系统构成框图如图1.1所示通过内部定时产生中断,从而驱动电铃打铃。

电路系统构成框图如图1.1所示。

数字自动打铃系统(带程序版)

数字自动打铃系统(带程序版)
1.方案论证与对比…………………………………………………………………………3
1.1方案一:采用时钟芯片和键盘实现功能............................3
1.2方案二:采用中断定时实现功能……………………………………………4
1.3方案比较………………………………………………………………………5
ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。
P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。
4.2系统实际测试……………………………………………………………………16
4.3软件调试步骤……………………………………………………………………16
4.5子程序调试步骤…………………………………………………………………16

PLC自动打铃控制器【范本模板】

PLC自动打铃控制器【范本模板】

前言本次毕业设计的课题是《自动打铃控制器》控制的设计,用时间来控制自动打铃,开(熄)学生宿舍灯等。

目的是为了考查学生对所学知识的综合运用能力,以及对不同程序控制之间的融汇贯通.在查阅有关的资料及手册的基础上,掌握与设计有关的基础知识,提高自己设计、分析程序的能力。

通过制作电路板,解决实际问题,提高自己的动手能力。

在指导老师的悉心指导及本组成员的共同努力下,完成了0~24小时循环显示的程序、自动打铃程序、开(熄)学生宿舍灯程序的设计,及电路板的制作。

通过本次设计领悟了作为一名技术员所具备分析、解决问题的能力,为今后的工作打下基础.参与本次毕业设计的同学有王李烙、潘松熙、蔡汇哲、、岑显明、刘成君、莫亚文、方振辉。

有了同学们的积极参与才使得复杂的程序简单化,以至于在短时间内把程序设计出来,并对指导老师曹汇文表示由衷的感谢!由于时间仓促、能力有限,程序难免有不足之处,请老师批评指正。

电气工程系PLC设计组目录一、设计任务1、作息时间控制器控制设计大纲 (4)2、设计步骤 (4)二、设计过程1、时间控制显示程序 (5)1.1秒脉冲显示程序 (5)1.2分钟显示程序 (6)1.3小时显示程序 (7)1。

4星期显示程序 (9)1.5自动扫描秒程序 (11)1.6开机显示 (12)2、电铃控制程序 (14)2.1作息时间电铃控制 (16)2。

2双休日电铃控制 (17)3、学生宿舍开(熄)灯程序 (18)4、控制器输入输出点分配 (19)5、PCB接线图及元器件 (21)5。

1 PCB的外部接线图 (21)5。

2 元器件 (22)6、作息时间控制器控制梯形图 (22)7、作息时间控制器使用说明 (23)三、设计总结 (24)概述PLC即可编程控制器(Programmable logic Controller),是指以计算机技术为基础的新型工业控制装置。

PLC是一种专门为在工业环境下应用而设计的数字运算操作的电子装置。

定时打铃器的开题报告

定时打铃器的开题报告

定时打铃器的开题报告定时打铃器的开题报告一、引言定时打铃器是一种广泛应用于学校、工厂、办公室等场所的设备,用于按照预定的时间发出铃声,提醒人们进行下一项活动或转换工作状态。

本篇开题报告将介绍定时打铃器的背景和意义,以及我们将要进行的研究内容和方法。

二、背景和意义在现代社会中,时间管理对于个人和组织的效率和生产力至关重要。

定时打铃器作为一种时间管理工具,可以帮助人们合理安排时间,提高工作效率。

尤其对于学校和工厂等场所,定时打铃器的使用可以有效地组织课堂和工作流程,提升整体管理水平。

然而,目前市面上的定时打铃器存在一些问题。

首先,传统的定时打铃器功能单一,只能按照预设的时间点发出铃声,无法根据实际情况进行灵活调整。

其次,传统打铃器的音质和音量往往不尽如人意,导致提醒效果不佳。

此外,传统的打铃器通常需要人工操作,易出现误操作或者遗漏等问题。

因此,我们计划开展研究,设计一种新型的定时打铃器,以解决上述问题,提升时间管理的效果和用户体验。

三、研究内容我们的研究将主要围绕以下几个方面展开:1. 功能设计:设计一种多功能的定时打铃器,能够根据用户需求进行灵活调整。

比如,可以设置不同的时间段,每个时间段内的铃声、音量和持续时间可以自定义。

同时,我们还将考虑添加其他功能,如闹钟、倒计时等,以提供更多的时间管理选项。

2. 音质优化:通过技术手段改善打铃器的音质和音量,使其更加清晰、响亮,提升提醒效果。

我们将研究音频处理算法,优化音频输出的质量,并结合适当的硬件设计,以实现更好的音质表现。

3. 自动化操作:研究如何实现定时打铃器的自动化操作,减少人工干预,降低误操作和遗漏的风险。

我们将探索使用传感器、无线通信等技术,实现打铃器的自动化设置和控制。

四、研究方法我们将采用以下研究方法来实现上述研究内容:1. 文献综述:对相关领域的文献进行综述,了解已有的定时打铃器技术和研究成果,为我们的研究提供理论基础和参考。

2. 原型设计:基于文献综述的结果和我们的设计思路,进行定时打铃器的原型设计。

自动打铃系统设计样本

自动打铃系统设计样本

<<综合课程设计>>自动打铃系统设计报告题目: 自动打铃系统专业: 电子信息工程年级:学号:学生姓名:联系电话:指导老师:完成日期: 12月30日自动打铃系统摘要在现如今快节奏的生活中, 人们对于时间的要求越来越苛刻, 很多时候需要对时间规划, 然后到时间点就要有时间提醒, 这就必须用到时中提醒装置, 亦可称为打铃装置。

打铃装置有很多种, 比如手机的打铃系统, 闹钟的机械打铃系统, 广播打铃系统等等, 可是日常生活中见得最多的还是校园的自动打铃系统。

在学校生活中, 每天上课都离不开打铃系统的使用。

打铃器能够为上下课的学生和老师们提供时间提醒, 有利于师生对上课和学习的合理安排。

同事也能够作为一个提醒学生作息时间的时间表, 让老师和学生都有一个规律科学的时间安排。

因此, 打铃系统的核心部分也是时钟部分, 为系统提供时间基准。

本设计主要是针对适用于校园打铃系统要求的, 其介绍了一种基于单片机的自动打铃系统的设计方法, 系统以STC89C52单片机为控制器, 以DS1302时钟芯片为系统提供时间, 并在1602液晶显示器上显示, 经过按键能够设定定时打铃时间和打铃间隔。

系统软件设计采用C语言来完成, C语言语法简洁, 使用方便, 用于完成软件设计非常方便。

关键词: 打铃器、 STC89C52单片机、 DS1302、 LCD1602ABSTRACTIn today's fast-paced life, people are more and more requirements, in many cases need time to plan, and then to pointin time will have time to remind, which must be used to remind device, also known as Bell equipment. Bell devices there are many, such as phone ringing system, the mechanical Bell alarm clock system, broadcast the Bell System, and so on, but in everyday life up to the school bell system automatically. In school life, are inseparable from the Bell system used in class every day. Bell can provide reminder of students and teachersto and from school, reasonable arrangements conducive to teachers and students on school and learning. Colleagues canbe used as an alert student hours schedule, so that teachersand students have a timeline of the laws of science. Accordingly, Bell clock in the core part of the system, providea time reference for the system. Designed primarily for theBell System requirements apply to the campus, and introduces an automatic Bell system based on single-chip design methods, systems with STC89C52 single-chip controller, DS1302 provides the system time clock chip, in 1602 and displayed on the LCD by pressing the set ringing and ringing in intervals of time on a regular basis. System software design using the c language to complete, c language syntax is simple, easy to use, is very convenient for completing a software design.Key Words:t Bell, DS1302, collector, STC89C52 single-chip LCD1602目录摘要....................................... 错误!未定义书签。

定时打铃设计报告

定时打铃设计报告

前言用单片机控制的自动打铃器,充分发挥单片机体积小,价格便宜,功耗低,可靠性好等特点,可用于学校作息,方便了广大师生。

同时也可作为电子手表的设计方案,两者在原理及实现的功能上是一样的效果,也可作为各种时钟控制电路的报警装置使用,具有用途范围广,具有原理简单便于操作和维护的优点。

总体上单片机设计方案在现实中应用非常广泛,而且在现实生活中也比较容易实现设计的实施。

随着科技的发展,以单片机系统为控制中心、采用大规模集成电路生产的定时打铃产品是最新的发展趋势,具有性能稳定,走时更精确,操作简单、人性化,使用方便等特点。

它还能播放音乐乐曲,兼作电子时钟显示,其外形美观大方,亮度清晰,赏心悦目,可取代传统时钟、日历牌、挂历等。

第一章 设计要求1.1 设计内容(1) 显示时钟格式:**时**分**秒。

(2) 可任意设定时间达到定时控制,定时点至少有2个。

(3) 定时时间到,打铃1分钟,然后自动关闭打铃。

1.2 设计要求本次设计以8255管理键盘和显示,6位LED 数码管从左到右分别显示时. 分. 秒(各占用2位),采用24小时标准计时制。

设置了总共10个按键,分别为时“+”键、时“-”键、分“+”键、分“-”键、4个定时点键、校时键、确定键。

分, 秒加到59后变为00,减到00后变到59;小时加到23后再按键即变为00,减到00后变为23,在调校时时均不向上一单位进位(例如分加到59后变为00;但小时不发生改变)。

本设计的振铃部分则采用发光二极管代替。

1.3 设计框图(图1.1)第二章系统组成及工作原理2.1 系统组成通过对系统总体结构的分析,根据打铃器实际要求,采用了模块化设计,此定时打铃器主要由显示模块、校时模块和时钟运算模块三大部分组成。

显示模块主要有6只LED数码管组成,校时模块和时钟运算模块要对时、分、秒的数值进行操作,并且秒计算到60时,要自己清零并向分进1;分计算到60时,要自己清零并向时进1;时计算到24时,要清零。

数字逻辑设计及应用课程设计报告自动打铃器

数字逻辑设计及应用课程设计报告自动打铃器

数字逻辑设计及应用课程设计报告自动打铃器数字逻辑设计及应用课程设计报告姓名:学号:选课号:设计题号:一.设计题目自动打铃器二.设计要求1.有数字钟功能;2.可设置六个时间,定时打铃;3.响铃5秒钟。

三.设计过程1.总体方案数字钟系统可以分为以下几大模块:时钟信号发生模块,基本计时模块,动态显示模块,控制电路模块,报时电路模块。

首先需要将系统时钟进行分频得到1HZ 时钟信号进行计时。

计时电路采用了74LS160 二进制BCD 码计数器构成了模24 和模60 的计数器,进行时分秒的计时。

为了避免产生逻辑冒险,计数器尽量采用了同步计数器。

译码显示电路采用的是动态显示的方案,动态显示使用数据选择器的分时复用功能,将任意多位数码管的显示驱动,由一个七段显示译码器来完成。

闹钟的主要部分是4个7485构成的16位数据比较器。

闹钟设定时间和时钟时间做比较,然后给蜂鸣器信号闹钟。

2 . 各子模块设计原理2.1 时钟信号发生模块为了便于实现秒表的计时功能和报时功能,时钟信号发生模块共输出1HZ 100HZ 512HZ 1KHZ 的时钟信号,输入只有一个,就是系统时钟48MHZ。

48MHZ 分频的具体实现为,将48 进制计数器和1K 进制计数器级联。

通过计数器的最高位产生1HZ 信号,由于48 不是2 的整数次幂,所以分频得到的信号。

占空比不为50%。

各个模块的进位信号为各个模块的最高位。

下面为48分频电路:下面为1000分频电路:2.2 秒计数电路用两片74160模10计数器设计一个模60计数器,当计数值为59时,下一个时钟信号给计数器置数0。

(1)秒计数的CLK时钟端用分频后的1hz输入。

(2)秒十位的sec[6]取非后输出用于分计数的进位脉冲。

(3)Clear输入用于清零端信号输入。

(4)输出sec[7..0]作为秒计数器的值。

仿真波形如下:2.3分计数电路用两片74160模10计数器设计一个模60分计数器,当计数值为59时,因为要考虑到秒计时电路,所以还要输入一个当秒为59的信号,这样下一个时钟信号才能给计数器置数0。

开题报告 无线遥控音乐门铃

开题报告 无线遥控音乐门铃

1、设计框图 本研究设计无线遥控音乐门铃,包括发射机部分和接收主机部分。发射机框图如图
1 所示。
呼叫按 钮
PT2262编码
315MHz射频发射
图 1 从机框图 按下呼叫按钮,通过 PT2262 地址编码,然后经过发射模块进行发射。在发射部分, 系统利用跳线来控制地址位和数据位(二进制)信息的设置,再通过编码电路进行编码 和并串转换把地址位和数据位信息变换成一串脉冲信号,最后由无线发射电路发射出 去。 如图 2 所示为接收显示主机工作原理框图。从天线中接收到的信号会直接进入解码 电路,解码电路会先把接收到信号中的地址位与本地的地址位进行比较,如果地址位比 较正确,就会把接收到数据信息传送给由单片机,单片机电路则会根据接收到的数据进 行一系列动作:如果在地址位的比较中出现误差,解码电路不会送出任何信息,单片机 电路也不会有任何响应。
系(教研室)审核意见:
指导教师签名: 年月日
该选题符合专业培养目标,能够达到毕业设计综合训练的目的。
系主任签名:
年月日
注:开题报告应在指导教师指导下由学生填写,经指导教师及系审核后生效。
315MHZ接收
PT2272解码
89C52单片机分析处理 输入信号
提示灯亮起 报警音乐响起
图 2 接收显示主机框图
四、预期成果
1.发射机可以以无线方式呼叫主机
2.接受机受到呼叫信号后音乐响起,并亮相应的灯,并且音乐可以更改
3.若无人处理,则灯六十秒后自行灭,也可以主人自行按下物理按钮把灯熄灭
五、进度安排
月.
[3]赵家贵、付小美、董平. 新编传感器电路设计手册[M]. 中国计量出版社 2002 年 9
月.
[4]李朝青. 无线发送/接收 IC 芯片及其数据通信技术选编[M]. 北京航空航天大学出

自动打铃系统开题报告

自动打铃系统开题报告

自动打铃系统一:课题背景单片机又称单片微控器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。

概括的讲:一块芯片就成了一台计算机。

它的体积小、重量轻、价格便宜、为学习、应用和开发提供了便利条件。

同时,学习使用单片机了解计算机原理与结构的最佳选择。

还有一类计算机,大多数人却不怎么熟悉。

这种计算机就是把智能赋予各种机械的单片机(亦称微控制器),顾名思义,这种计算机的最小系统只用了一片集成电路,即可进行简单运算和控制。

因为它体积小,通常都藏在被控机械的“肚子”里。

它在整个装置中,起着有如人类头脑的作用,它出了毛病,整个装置就瘫痪了。

现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。

各种产品一旦用上了单片机,就能起到使产品升级换代的功效。

常在产品名称前冠以形容词——“智能型”。

如智能型洗衣机等。

一块小小的片子,为何有这样的魔力?我们首先从它的构成说起:单片机,亦称单片微电脑或单片微型计算机。

它是把中央处理器(CPU)、随机存取存储器器(RAM)、只读存储器(ROM)、输入/输出端口(I/O)等主要计算机功能部件集成在一块集成电路芯片上的微型计算机。

计算机的产生加快了人类改造世界的步伐,但是它毕竟体积大。

微计算机(单片机)在这种情况下诞生了,它为我们改变了什么?纵观我们现在生活的各个领域,从导弹的导航装置,到飞机上各个仪表的控制,从计算机的网络通讯与数据传输,到工业自动化过程的实时控制和数据处理,以及我们生活中广泛使用的各种智能IC卡、电子宠物等,这些都离不开单片机。

在单片机产生后,我们就将控制这些东西变为智能化了,我们只需要在外围接一点简单的接口电路,核心部分只是由人为的写入程序来完成。

这样产品的体积变小了,成本也降低了,长期使用也不用担心精度达不到了。

所以,它的魔力不仅在现在。

在将来将会有更多的人来接受它,使用它。

据统计我国的单片机容量已达到1—3亿片,且以每年16%的速度增长,但相对于世界市场我国的占有率还不到1%。

无线“叮咚”电子门铃的设计【开题报告】

无线“叮咚”电子门铃的设计【开题报告】

开题报告电子信息工程无线“叮咚”电子门铃的设计一、综述本课题国内外研究动态,说明选题的依据和意义随着电子技术的飞速发展,80年代出现了音乐集成电路。

这是一种封装在小型印刷电路板上的大规模集成电路。

当触发端输入一个触发信号,他就会按内部存储好的程序发出一曲优美动听的音乐了。

近年来,又出现了内存语言程序或声响程序的集成电路,触发后会发出如“请注意倒车”、“谢谢光临”等语言声或模拟动物叫声、叮咚声、警笛声等声响。

这种集成电路已被广泛应用于电子玩具、门铃、钟表或报警装置上。

音乐集成电路工作电压低、功耗小外围电路简单。

90年代发展起来的楼宇对讲系统,大致经历了功能单一的对讲、锁控、联网通话、呼叫报警、CRT黑白可视对讲和以及随着LCD技术发展的彩色可视对讲等历程,功能也由简单到复杂,包括图像存储、信息发布等等,甚至涵盖社区物业管理的部分功能。

而在系统的组成和布线上,从1994到2004年,系统的布线基本上多是信号线加视频线的布线方式,信号的传输也大多是摸拟的。

因而,系统存在着信号和图像传输不稳定、放大器等中间设备繁多、传输线材成本高和部件不标准等问题。

比如长距离的模拟图像传输高频部分经过传输衰减以后,往往会出现图像的彩色丢失,导致图像扭曲变型等现象。

目前有不少大型小区的模拟系统,单元楼道是采用彩色系统,但是小区入口的围墙机却用黑白的,这是有意识的回避远距离的传输问题,这些问题是模拟系统所存在的不足。

随着科学技术的发展,尤其是计算机技术和嵌入式技术的飞快发展,数字系统的巨大优势正在取代传统的模拟系统而成为可视门铃系统的主流。

门铃的数字化由下列几大优势:(1)模拟信号经转换成数字信号后可长距离传输而不受影响,现在小区开发规模越来越大,如用传统的模拟信号传输且加视频放大器等其它中间设备解决方法,最长距离也在2-3km且信号很容易受干扰及色彩成份丢失严重,信号还原度很差。

(2)多方通话多信道同时传输音视频信号,采用数字式的楼宇可视门铃系统犹如Internet网一样可实现多对用户同时进行通话及多个用户同时进行通话,避免模拟系统有一设备在使用其它设备只能等待,虽然有些厂家采用额外增加一条信号线,补救两个设备同时使用的问题,但第三个设备却无法马上使用且增加线材成本。

EDA课设报告自动打铃器

EDA课设报告自动打铃器

燕山大学EDA课程设计报告书题目:自动打铃器姓名:班级:电子信息工程4班学号:成绩:(注:此文件应以同学学号为文件名)一、设计题目及要求题目:自动打铃器要求:1.有数字钟功能;(不包括校时等功能)2.可设置六个时间,定时打铃;3.响铃5秒钟。

二、设计过程及内容1、总体设计思路(1)使电路有计时功能,分别利用两个十进制74160设置成六十进制和二十四进制计数器。

用已经设计完成的两个六十进制和一个二十四进制计数器进位连接完成时,分,秒的计数功能(2)用三个74160设置成366进制的计数器,并将这个366进制的计数器设置成分频器得到1hz的时钟脉冲作为开始的输入信号。

(3)四个八选一数字选择器和7449译码器设计成电路完成数字显示功能,用一个八进制计数器控制实验箱上的八个数码管。

(4)连接有计数功能的模块的相应输出端设置六个时间,通过与门和非门,连接到响铃模块的输入端以控制其响铃。

全天计数器的输出端与扫描显示电路输入端对应连接。

(5)一个D触发器和一个五进制计数器做成响铃五秒的响铃电路。

最后将以上设计的五个模块连接起来,组成自动打铃器。

2、设计过程该自动打铃器由五部分构成,分别为分频电路、数字钟电路、扫描显示电路、设定时间电路、响铃电路。

第一部分分频电路分频电路是为了对732Hz脉冲进行分频,得到1Hz的脉冲信号。

该模块由三片74160芯片级联构成732进制计数器,采用整体置0法。

输出频率为1Hz的信号,为数字钟提供脉冲。

电路图如下图1 分频电路电路图对分频电路进行仿真,所得仿真波形如图2:图2 分频电路仿真波形第二部分数字钟电路该部分电路是用来设定全天二十四小时的计数器,由时、分、秒三个模块连接而成。

三个模块均由两片74160芯片级联构成,都是采用整体置0法。

分、秒模块是六十进制计数器,时模块为二十四进制计数器。

几个电路图及仿真波形图如下:图3 秒模块60进制计数器图4 秒模块60进制计数器仿真波形图5 分模块60进制计数器图6 分模块60进制计数器仿真波形图7 时模块24进制计数器图8 时模块24进制计数器仿真波形三个模块串行连接,秒模块的输出接到分模块的使能控制端,分模块的输出接时模块的使能控制端,构成数字钟。

Get格雅VHDL实验报告自动打铃系统曹刚DOC

Get格雅VHDL实验报告自动打铃系统曹刚DOC

VHDL实验报告自动打铃系统曹刚DOC第二次实验自动打铃系统〔14〕姓名:曹刚学号:1228401082班级:12电子信息工程一.实验目的1、综合运用EDA技术,完成自动打铃系统的设计与操作;2、进一步熟悉EDA技术的开发流程,掌握文件编辑、编译、仿真、下载验证等环节的实现方法和应用技巧;3、通过本实践环节,培养科学和严谨的工作作风。

二.实验要求1.用6个数码管实现时,分、秒的数字显示;;3.能实现上、下课打铃及起床铃、熄灯铃功能;4.能实现整点报时功能,并能控制启动和关闭;5.能实现调整打铃时间和间歇长短的功能;三.实验设计说明根据设计要求,可以将自动打铃系统的划分为以下几个模块:1.状态机:系统有多种显示模式,设计中将每种模式当成一种状态,采用用状态机来进行模式切换,将其作为系统的中心控制模块;2.计时调时模块:用于完成根本的数字钟功能;3.打铃时间设定模块:系统中要求打铃时间可调,此局部功能相对独立,单独用一个模块实现;4.打铃长度设定模块:用以设定打铃时间的长短;5.显示控制模块:根据当前时间和打铃时间等信息决定当前显示的内容;6.打铃控制模块:用于控制铃声音乐的输出;7.分频模块、分位模块、七段数码管译码模块等; 以上各模块可用下列图表示其间的联系。

四. 实验原理用层次化设计的方法以VHDL 语言编程实现以按状计时打铃打铃显示控制及打 七段数码管 译码电七段铃声闪烁指示信模块启动信号 当前打铃铃声打铃指示下功能:【1】具有“时〞、“分〞、“秒〞计时功能;时为24进制,分和秒都为60进制。

【2】具有消抖功能:手工按下键盘到是否这个过程大概50ms左右,在按下开始到弹簧片稳,定接触这段时间为5-10ms,从释放到弹片完全分开也是5-10ms,在到达稳定接触和完全分开的微观过程中,电平是时高时低的,因此如果在首次检测到键盘按下时延时10ms再检测就不会检测到抖动的毛刺电平了。

64Hz的信号周期为15.6ms,正适合做消抖信号。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字自动打铃系统
学号
姓名
一:课题背景
单片机又称单片微控器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。

概括的讲:一块芯片就成了一台计算机。

它的体积小、重量轻、价格便宜、为学习、应用和开发提供了便利条件。

同时,学习使用单片机了解计算机原理与结构的最佳选择。

还有一类计算机,大多数人却不怎么熟悉。

这种计算机就是把智能赋予各种机械的单片机(亦称微控制器),顾名思义,这种计算机的最小系统只用了一片集成电路,即可进行简单运算和控制。

因为它体积小,通常都藏在被控机械的“肚子”里。

它在整个装置中,起着有如人类头脑的作用,它出了毛病,整个装置就瘫痪了。

现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。

各种产品一旦用上了单片机,就能起到使产品升级换代的功效。

常在产品名称前冠以形容词——“智能型”。

如智能型洗衣机等。

一块小小的片子,为何有这样的魔力?我们首先从它的构成说起:单片机,亦称单片微电脑或单片微型计算机。

它是把中央处理器(CPU)、随机存取存储器器(RAM)、只读存储器(ROM)、输入/输出端口(I/O)等主要计算机功能部件集成在一块集成电路芯片上的微型计算机。

计算机的产生加快了人类改造世界的步伐,但是它毕竟体积大。

微计算机(单片机)在这种情况下诞生了,它为我们改变了什么?纵观我们现在生活的各个领域,从导弹的导航装置,到飞机上各个仪表的控制,从计算机的网络通讯与数据传输,到工业自动化过程的实时控制和数据处理,以及我们生活中广泛使用的各种智能IC卡、电子宠物等,这些都离不开单片机。

在单片机产生后,我们就将控制这些东西变为智能化了,我们只需要在外围接一点简单的接口电路,核心部分只是由人为的写入
程序来完成。

这样产品的体积变小了,成本也降低了,长期使用也不用担心精度达不到了。

所以,它的魔力不仅在现在。

在将来将会有更多的人来接受它,使用它。

据统计我国的单片机容量已达到1—3亿片,且以每年16%的速度增长,但相对于世界市场我国的占有率还不到1%。

特别是沿海地区的玩具厂等生产产品多数用到我国开始使用单片机是在1982年,短短几年时间里发展极为迅速。

单片机,并不断地辐射向内地。

所以,学习单片机在我国是有着广阔前景的。

二:设计目的
通过本次毕业设计设计,掌握单片机应用系统产品开发的全过程,不但使所学知识得到巩固和提高,而且也培养了我的动手能力,为将来走向工作岗位,从事单片机系统开发打下坚实基础。

具体体现在如下几点:
1、基本掌握简单单片机应用系统的硬件电路的设计、仿真、制作和调试技能,了解单片机硬件抗干扰措施;
2、掌握较为复杂的单片机应用系统软件设计的方法、软件调试的基本规律和方法;
3、掌握PROTEUS软件在单片机应用系统中的仿真方法和技巧;
4、掌握单片机件软、硬件综合仿真/调试技术;
5、通过样机的制作,掌握单片机系统电路板的制作方法。

三:课题设计的意义
在学校以及一些企事业单位中,通常使用传统的电铃声作为上下班、上下课等作息时间信号。

且不说人工打铃的繁琐,铃声的单
调和乏味,光是那突然骤响的刺耳铃声就常常让人感到不适。

加之需要另外架设线路,以及成本增加及系统可靠性差的缺点。

为此,设计了一种无线式校园时间显示/自动响铃系统,将数字电子钟与自动打铃系统结合起来,从而有效地解决了这些问题。

该产品以单片机为核心来控制各个功能模块,用户可以随时对实时时间、作息时间表进行修改,可以很方便地任意设定作息时间方案。

该产品以无线代替有线,从而摆脱了架线受地形的限制并降低了系统成本,来管理学校等日常作息时间,本设计还具有普通的数字钟时间设定、显示功能,是集时间设置、显示和打铃一体的多功能打铃计时系统,通过简单的设置,可以适合任何学校、企事业单位。

四:设计任务
用单片机器件为主体,设计一台时间显示/自动打铃系统。

(1)按照设计要求,完成系统硬件电路图的设计。

(2)完成该课题的程序设计,提交程序设计框图及程序设计清单。

(3)用PROTEUS进行软硬件综合仿真。

(4)设计并制作样机,并完成系统全部功能的样机调试。

(4)提交课题毕业设计报告。

五:参考文献
1 主编余发山《单片机原理及应用技术》中国矿业大学出版社
2主编张淑清《单片微型计算机接口技术及其应用》国防工业出版社
3编著李光飞楼然苗胡佳文谢象佐《单片机课程设计实例指导》北京航空航天大学出版社。

相关文档
最新文档