数字电子技术课程设计 跑马灯
4017跑马灯课程设计
4017跑马灯课程设计一、课程目标知识目标:1. 学生能理解跑马灯的基本原理,掌握跑马灯电路的设计与搭建;2. 学生能运用所学知识,分析跑马灯电路中各个组件的作用及相互关系;3. 学生了解跑马灯在生活中的应用,提高对电子技术的认识和兴趣。
技能目标:1. 学生能独立完成跑马灯电路的搭建,提高动手操作能力;2. 学生能通过跑马灯电路的设计与制作,培养创新思维和问题解决能力;3. 学生能运用跑马灯项目,提高团队协作和沟通能力。
情感态度价值观目标:1. 学生通过跑马灯课程,培养对电子技术的热爱和好奇心,增强学习动力;2. 学生在跑马灯设计与制作过程中,树立自信心,勇于面对挑战,培养克服困难的意志;3. 学生在团队协作中,学会尊重他人,培养良好的合作精神和沟通能力。
课程性质:本课程为电子技术实践课程,结合理论知识与动手操作,培养学生的实际应用能力。
学生特点:四年级学生具有一定的电子技术基础,好奇心强,喜欢动手操作,但注意力容易分散,需要激发兴趣和引导。
教学要求:注重理论与实践相结合,强调学生动手操作和团队协作,注重培养学生的学习兴趣和创新能力。
通过跑马灯课程,使学生在实践中掌握知识,提高技能,培养情感态度价值观。
教学过程中,关注学生个体差异,因材施教,确保每个学生都能达到课程目标。
二、教学内容本课程教学内容围绕跑马灯电路的设计与制作展开,包括以下部分:1. 跑马灯原理及电路设计- 介绍跑马灯基本原理,引导学生理解电路工作过程;- 结合课本相关章节,讲解跑马灯电路的设计方法,分析电路中各组件的作用及选型。
2. 跑马灯电路搭建与调试- 指导学生进行跑马灯电路的搭建,培养学生的动手操作能力;- 引导学生根据电路原理图,连接电路,并调试电路,确保跑马灯正常工作。
3. 跑马灯程序编写与优化- 介绍跑马灯程序的编写方法,结合课本内容,使学生掌握编程技巧;- 引导学生优化程序,实现跑马灯的不同效果,培养学生的创新思维。
数电课程设计(跑马灯)
数字电子技术课程设计设计题目:跑马灯目录设计题目 (1)1设计要求及主要技术指标.. ............................. .. (3)1.1 设计要求 (3)1.2 主要技术指标 (3)2 设计过程 (3)2.1题目分析 (3)2.2 整体构思 (3)2.3 具体实现 (4)3 元件说明 (4)3.1 元件说明 (4)4 检查过程 (7)4.1 检查过程 (7)4.2 遇到问题及解决措施..................... . (7)5 心得体会........................... ............. (8)参考文献......................................... (9)附录一:电路原理图 (9)附录二:元件清单 (10)一.设计要求及主要技术指标1.1 设计要求(1)、根据技术指标要求确定电路形式,分析工作原理,计算元件参数。
(2)、列出所用元器件清单并购买。
(3)、安装调试所设计的电路,使之达到设计要求。
(4)、记录实验结果。
(5)、撰写设计报告。
1.2 主要技术指标(1)实现8灯循环点亮。
(2)间隔时间可调。
(3)广告灯的样式自定。
二.设计过程2.1题目分析我们设计的跑马灯实际上是主要使用一个74LS161,一个74LS138,一个74LS20和一个78L05这四个芯片对8个LED进行控制,产生循环控制的效果。
形成一个流水似的广告灯。
2.2 整体构思拟定系统方案框图,画出系统框图中每框的名称、信号的流向,各框图间的接口,使用protel99电路设计软件画出原理图,再生成网络表和PCB板。
对照原理图进行统计要使用的元器件后,在电子市场购买所需要的电子元器件。
最后在指导老师的辅导下在实验室进行下一步工作。
2.3具体实现我们需要使用碳粉打印机把我们设计的原理图打印出来,不过要注意必须要使用不干胶纸的光滑面进行打印。
数字电路跑马灯实验报告
实验名称:发光二极管走马灯电路设计与实现姓名:班级:班内序号:学院:日期:一、发光二极管走马灯电路设计与实现1.实验目的(1)进一步了解时序电路描述方法;(2)熟悉状态机的设计方法。
2.实验所用仪器及元器件(1)计算机;(2)直流稳压电源;(3)数字系统与逻辑设计实验开发板。
3.实验任务与要求设计并实现一个控制8个发光二极管亮灭的电路,仿真验证其功能,并下载到实验板测试。
(1)单点移动模式:一个点在8个发光二极管上来回的亮(2)幕布式:从中间两个点,同时向两边依次点亮直至全亮,然后再向中间点灭,依次往复。
4.设计思路和过程(1)设计一个模8计数器和一个模5计数器,在输入的时钟信号的上升沿触发。
(2)对于模8计数器,当计数值达到“001”时,计数标志位设置为‘0’,进行加计数;当计数值达到“110”时,计数标志位设置为‘1’,进行减计数。
(3)模5计数器的设计思路与模8计数器相同。
(4)对于输入的控制信号,当控制信号为“1”时,利用3线至8线译码器输出控制信号,实现单点移动模式;当控制信号为“0”时,利用3线至8线译码器输出控制信号,利用其中的5个状态,实现幕布式;5.VHDL代码这是库申明和实体申明,有三个输入端分别为控制端,时钟,复位。
一个八位的输出端。
TEMP用作输出;TEMP1,TEMP2为计数器状态,用作计数器的设计FLAG1,FLAG2标记计数器的计数方式,当为1是加计数,为0时减计数。
当控制端为1时实现单点移动模式,能够自启动。
当控制端为0时实现幕布式,将TEMP的值赋给输出Q。
6.仿真波形及分析(1)仿真波形(2)波形分析由波形可见,当控制信号输入为“1”时,输出的8个端口依次出现正脉冲,代表一个发光的点在8个发光二极管上来回的亮;当输入为“0”时,输出的8个端口出现正脉冲的时间与脉冲的长度呈现“中间宽,两头窄”的形状,实现了幕布式的点灯方式.由图可知,该电路实现了要求的逻辑。
7.故障及问题分析(1)开始设计时没有想到用计数器实现,导致全用case语句实现当设计单点移动时正确,但当实现幕布式是导致条件有重复故改用模8计数器实现,其实单点移动可以不用计数器而直接使用case语句,但为了统一思路都改为用计数器实现。
数字电路设计-跑马灯
Johnson 计数器,提供了快速操作、2 输入译码选通和无毛刺译码输出。防锁选通,保证了正确的计数顺序。译码输出一般为低电平,只有在对应时钟周期内保持高电平。在每10 个时钟输入周期CO 信号完成一次进位,并用作多级计数链的下级脉动时钟。
555引脚图:
功能表:
清零端
高触发端TH
低触发端TR
V0
放电管T(V)
功能
0
x
x
0
导通
直接清零
1
0
1
x
保持上一状态
保持上一状态
1
1
0
1
截止
置1
1
0
0
1
截止
置1
1
1
1
0
导通
清零
CD4017:十进制计数器/脉冲分配器
CD4017 是5 位Johnson 计数器,具有10 个译码输出端,CP、CR、INH 输入端。时钟输
7附录………………………………………………………………………………………………………..8
1 设计任务与要求
1.1设计规范
(1)、根据技术指标要求确定电路形式,分析工作原理,计算元件参数。
(2)、安装调试所设计的电路,使之达到设计要求。
(3)、记录实验结果。
(4)、撰写设计报告。
设计要求
(1)实现10灯循环点亮。
2 设计方案..........................................................2
跑马灯-微机课设报告
微机原理课程设计——跑马灯专业计算机科学与技术班级学生同组指导教师目录跑马灯实验 (2)一、实验目的 (2)二、实验要求 (2)1、基本要求 (2)2、提高要求 (2)三、设计思路 (2)四、实验原理 (3)1、8254定时器 (3)(1)计数通道 (3)(3)工作方式(6种) (3)(4)内部结构 (4)(5)引脚 (4)(6)方式控制字 (4)2、并行接口电路8255 (5)(1)3个数据端口 (5)(2)工作方式 (5)(3)方式控制字 (5)(4)内部结构 (6)五、实验原理图 (6)六、实验流程图 (7)七、实验代码 (9)八、实验结果 (14)1、开关都未闭合 (14)2、开关K0闭合 (14)3、开关K1闭合 (14)4、开关K2闭合 (14)九、实验总结 (14)跑马灯实验一、实验目的(1)通过本次实验更加清楚的掌握芯片8255和8254的使用方法和工作原理;(2)编写一个简单的程序来实现最简单的跑马灯;(3)在此基础上来实现本次实验的要求和任务。
二、实验要求1、基本要求采用8254精确定时,LED的点亮规律为LED7—>LED0,每个LED的点亮时间间隔由逻辑电平开关K0、K1和K2选择。
K0的时间间隔为0.5s,K1的时间间隔为1.0s,K2的时间间隔为1.5s,当主机键盘按下任意键时停止演示。
2、提高要求LED灯的点亮规律变成LED7 —>LED0—> LED7。
三、设计思路使用8255的A端口连接开关,以此判断选择哪个定时。
用B口和LED 灯连接,来实现跑马灯开始亮。
使用C口来判断此时CLK是否为下降沿,以此判断此时是不是达到一个周期。
四、实验原理1、8254定时器8254有3个相互独立的计数器通道,计数器0、计数器1和计数器2. (1)计数通道8254每个计数器通道都有3个信号与外界接口:CLK时钟输入信号、GATE 门控输入信号和OUT计数器输出信号;(2)内部数据总线8254内部通过数据总线缓冲期引出8位数据引脚D7~D0,地址引脚A0和A1,控制引脚 RD、WR、CS;(3)工作方式(6种)●方式0:计数结束中断●方式1:可变成单稳脉冲●方式2:频率发生器●方式3:发波发生器●方式4:软件触发选通信号●方式5:硬件触发选通信号(4)内部结构(5)引脚(6)方式控制字D7 D6 D5 D4 D3 D2 D1 D02、并行接口电路8255(1)3个数据端口8255的3个数据端口分成两组进行控制:A组控制端口A和端口C的上半部;B组控制端口B和端口C的下半部。
跑马灯控制系统电路设计
HDL数字系统课程设计报告书目录一、设计目的 (1)二、设计思路 (1)三、设计过程 (1)3.1、系统方案论证 (1)3.2、程序代码设计 (2)四、系统调试与结果 (5)五、主要元器件与设备 (8)六、课程设计体会与建议 (8)6.1、设计体会 (8)6.2、设计建议 (9)七、参考文献 (9)论文摘要:共16个LED灯,连成一排,实现几种灯的组合显示。
通过这次对跑马灯控制电路的设计与制作,了解了设计电路的程序,也了解了关于跑马灯工作的基本原理与设计理念,首先要将一个程序分成几个模块,分别调试每一个模块,当每个模块均能正常工作时,其次再将其都组合在一起再次调试与仿真,最后将程序下载到Altera公司ACEXTM系列EPEK30QC208-2芯片,观察程序是否能控制硬件的实现。
此外,本实验也可通过EDA软件Quartus6.0和modelSim SE 6.0实现。
关键词: HDL 数字系统跑马灯设计一、设计目的1、熟悉Verilog HDL程序编程。
2、掌握Altera公司ACEXTM系列EPEK30QC208-2芯片的使用方法。
3、熟悉Quartus II 6.0和Modesim SE 6.0软件的使用。
4、了解16个数码管的显示原理和方法。
二、设计思路1、编写跑马灯设计程序。
2、定义LED灯引脚分配。
3、设计状态控制。
4、下载到EPEK30QC208-2芯片上显示。
三、设计过程3.1、系统方案论证16位LED跑马灯设计框图如图1所示:图1 LED跑马灯设计框图3.2、程序代码设计module paomadeng(rst,clk,sel,led); //端口定义,参数列表input rst,clk; //rst复位,clk为4Hz的时钟信号input[1:0] sel; //sel 状态选择端口output[15:0] led; //led 跑马灯显示reg[15:0] led;reg[15:0] led_r,led_r1;regt1,dir; //cnt1控制状态2 led灯的亮次数reg[3:0]t2; //cnt2控制状态2 led灯的亮次数reg[2:0]t3; //cnt3控制状态2 led灯的亮次数always(posedge clk)beginif(rst) begint1<=0;cnt2<=0;cnt3<=0;dir<=0;endelsecase(sel)// LED按奇数,偶数依次显示2'b00:beginled_r=16'b10101;if(cnt1==0)led<=led_r;else led<=led_r<<1;t1<=cnt1+1;end// LED顺序依次显示,顺序依次熄灭2'b01:beginif(!dir)beginif(cnt2==0) begin led_r=16'b00001;led<=led_r;endelse begin led<=(led<<1)+led_r;endif(cnt2==15) begin dir<=~dir;endt2<=cnt2+1;endelsebeginif(cnt2==0) begin led_r=16'b11110;led<=led_r;endelse begin led<=led<<1; endif(cnt2==15) begin dir<=~dir;endt2<=cnt2+1;endend// LED由两侧向中间依次显示,由中间向两侧依次熄灭2'b11:beginif(!dir)beginif(cnt3==0) beginled_r=16'b00001;led_r1=16'b00000;endelsebegin led_r=(led_r<<1)|led_r;led_r1=(led_r1>>1)|led_r1;endled<=led_r|led_r1;if(cnt3==7)begin dir<=~dir;endt3<=cnt3+1;endelsebeginif(cnt3==0) begin led_r=16'b11110;led_r1=16'b11111;endelsebegin led_r=led_r<<1;led_r1=led_r1>>1;endled<=led_r&led_r1;if(cnt3==7)begin dir<=~dir;endt3<=cnt3+1;endenddefault: ;endcaseendendmodule引脚分配:to,locationrst, pin_47 //复位引脚clk, pin_79 //时钟控制引脚sel[0], pin_45 //状态控制引脚sel[1], pin_46 //状态控制引脚led[0], pin_19//0—15个LED灯显示引脚led[1], pin_24led[2], pin_25led[3], pin_26led[4], pin_27led[5], pin_28led[6], pin_29led[7], pin_30led[8], pin_31led[9], pin_36led[10], pin_37led[11], pin_38led[12], pin_39led[13], pin_40led[14], pin_41led[15], pin_44四、系统调试与结果1、LED灯和波形结果显示结果如图2,3,4,5,6,7所示图2、先奇数灯亮,即第1、3、5、7、9、11、13、15灯亮图3、偶数灯亮,即第2、4、6、8、10、12、14、16灯亮图4、按照1/2/3/4/5/6/7/8/9/10/11/12/13/14/15/16的顺序依次点亮图5、按照1/2/3/4/5/6/7/8/9/10/11/12/13/14/15/16的顺序依次熄灭所有灯图6按照1/16、2/15、3/14、4/13、5/12、6/11、7/10、8/9的顺序依次点亮图7、按照1/16、2/15、3/14、4/13、5/12、6/11、7/10、8/9的顺序依次熄灭灯波形显示:图8、LED灯按先奇数,偶数灯亮图9、LED灯依次按顺次亮,顺次熄灭图10,LED灯依次从两边向中间亮,两边向中间熄灭五、主要元器件与设备EDA技术试验箱,EDA软件QuartusⅡ6.0,ModelSim SE 6.0分频芯片:SN74HC04N—2,SN74LS393N—4片LED灯16个LED灯,电脑一台六、课程设计体会与建议6.1、设计体会通过这次对跑马灯控制电路的设计与制作,让我了解了设计电路的程序,也让我了解了关于跑马灯工作的基本原理与设计理念,首先要将一个程序分成几个模块,分别调试每一个模块,当每个模块均能正常工作时,其次再将其都组合在一起再次调试与仿真,最后将程序下载到Altera公司ACEXTM系列EPEK30QC208-2芯片,观察程序是否能控制硬件的实现。
跑马灯
中州大学工程技术学院智能仪器课程设计设计题目:跑马灯原理及应用课程设计专业应电3+2班级14级学号201425170124姓名陈奡指导教师刘喜峰摘要:随着LED技术的不断发展以及LED在低功耗、长寿命、环保等方面的优势,LED应用领域逐渐增多。
同时,许多国家在看到LED巨大的市场潜力后,纷纷出台各项鼓励措施大力推动LED在各领域中的应用。
目前,LED的应用已经从最初的指示灯应用转向更具发展潜力的显示屏,景观照明、背光源、汽车车灯、交通灯、照明等领域,LED应用正呈现出多样化发展趋势。
本次课程设计就是用小功率LED 作为发光体替代实验室中价格昂贵的钠光灯或白炽灯。
并利用555定时器、可变电阻普通电阻、电解电容以及普通电容构成可调驱动电路,驱动CD4017计数器构成的译码电路,使LED依次循环。
目录设计任务和要求 (3)1.引言 (4)2.总体设计方案选择与说明 (5)2.1 方案选择 (5)2.2 电路工作原理: (5)3.单元硬件设计说明 (5)3.1 555定时器 (6)3.2 自激多谐振荡器 (10)3.3 十进制计数/分频器CD4017 (11)3.3.1 CD4017内容说明: (11)3.3.2 CD4017十进制计数器内部电路图: (12)3.3.3 CD4017时序波形图: (13)3.3.4 CD4017引脚图如下: (14)3.3.5 CD4017引脚功能: (14)3.4 发光二极管(LED) (15)3.4.1 LED 特点 (13)3.4.2 LED光源的特点 (16)3.5 元件明细表 (17)4.软件说明 (18)4.1 Protel99简介 (18)4.2 Proteus简介 (19)5.安装调试方法 (19)5.1 安装方法 (19)5.2 调试方法 (20)6.总结 (20)7.致谢 (21)8.参考文献 (22)附录一 (23)附录二......................................... .24 附录三 (25)附录四 (26)设计任务和要求设计任务: 以CD4017计数器为基础设计一灯组流动速度和亮度均可调的循环流水灯。
跑马灯课程设计
(2)P1口的P1.0~P1.7引脚(1~8脚):8位通用输入/输出端口。
(3)P2口的P2.0~P2.7引脚(28~21脚):8位通用输入/输出端口和片外高8位地址总线端口。
(4)P3口的P3.0~P3.7引脚(10~17脚):8位通用输入/输出端口,具有第二功能。
(3)RST/VPD(9脚):复位信号输入端。在该引脚上输入一定时间(约两个机器周期)的高电平将使单片机复位。该引脚的第二功能是VPD,即备用电源输入端。当主电源发生故障,降低到低电平规定值时,可将+5V备用电源自动接入VPD端,以保护片内RAM中的信息不丢失,使复电后能继续正常运行。
(4) /VPP(31脚):访问程序存储器控制信号/编程电源输入。当 保持高电平时,访问内部程序存储器,访问地址范围在0~4KB内;当PC(程序计数器)值超过0FFFH,即访问地址超出4KB时,将自动转向执行外部程序存储器内的程序;当 保持低电平时,不管单片机内部是否有程序存储器,则只访问外部程序存储器(从0000H地址开始)。由此可见,对片内有可用程序存储器的单片机而言, 端应接高电平,而对片内无程序存储器的单片机,可将 接地。
在AT89C51芯片内部有一个高增益反相放大器,用于构成振荡器。反相放大器的输入端为引脚XTAL1,输出端为引脚XTAL2,在芯片的外部通过这两个引脚跨接晶体振荡器和微调电容C1、C2形成反馈电路,可构成稳定的自激振荡器,振荡频率通常是1.2~12MHz。若晶体振荡器频率高,则系统的时钟频率也高,单片机的运行速度也就快
学习单片机的最有效方法就是理论与实践并重,现在我把单片机流水灯设计作为一个课程设计,需要更深的去了解单片机的很多功能,努力的去查找资料。本课题将以发光二极管作为发光器件,用单片机自动控制,实现一个简易的跑马灯设计。
dsp跑马灯课程设计
dsp跑马灯课程设计一、课程目标知识目标:1. 让学生理解DSP(数字信号处理)的基础概念,掌握跑马灯程序的基本原理;2. 学会运用C语言或汇编语言编写简单的DSP程序,实现跑马灯效果;3. 了解DSP芯片的内部结构及其工作原理,理解程序在硬件上的执行过程。
技能目标:1. 培养学生独立编写和调试DSP程序的能力;2. 培养学生运用所学知识解决实际问题的能力,如设计简单的跑马灯程序;3. 提高学生团队协作和沟通能力,通过小组讨论和分享,共同解决问题。
情感态度价值观目标:1. 培养学生对数字信号处理技术的兴趣,激发其学习热情;2. 培养学生勇于尝试、不断探索的精神,增强自信心;3. 培养学生具备良好的学习习惯和责任心,认识到学习成果与个人努力的关系。
分析课程性质、学生特点和教学要求,本课程目标注重理论与实践相结合,以学生为主体,充分调动学生的积极性和主动性。
课程目标具体、可衡量,有助于学生和教师明确课程的预期成果,为后续的教学设计和评估提供依据。
二、教学内容1. 数字信号处理基础理论:- 数字信号处理概述;- DSP芯片内部结构及其工作原理;- 常见数字信号处理算法简介。
2. C语言或汇编语言编程基础:- 数据类型、运算符和表达式;- 控制语句、循环语句;- 函数定义和调用。
3. 跑马灯程序设计:- 跑马灯原理及实现方法;- 跑马灯程序编写及调试;- 优化跑马灯程序,提高执行效率。
4. 教学案例与实践:- 分析实际跑马灯应用案例,了解程序设计方法;- 学生分组编写跑马灯程序,进行调试和优化;- 小组分享和讨论,总结编程技巧和经验。
教学内容按照教学大纲安排,分为理论教学和实践操作两部分。
理论部分主要围绕数字信号处理基础和编程语言基础展开,结合教材相关章节进行讲解。
实践部分侧重于跑马灯程序设计,使学生能够将所学理论知识应用于实际操作中。
教学内容科学系统,旨在培养学生具备扎实的理论知识和实践能力。
三、教学方法本课程将采用以下多样化的教学方法,以激发学生的学习兴趣和主动性:1. 讲授法:- 对数字信号处理基础理论、DSP芯片内部结构及其工作原理等理论性较强的内容,采用讲授法进行讲解,使学生系统掌握相关知识;- 结合教材章节,以实例讲解C语言或汇编语言编程基础,帮助学生理解和掌握编程技巧。
dxp课程设计跑马灯
dxp课程设计跑马灯一、课程目标知识目标:1. 学生能理解跑马灯的基本概念,掌握跑马灯电路的组成和工作原理。
2. 学生能够运用所学知识,设计并搭建一个简单的跑马灯电路。
3. 学生能够解释跑马灯电路中各元件的功能及其相互关系。
技能目标:1. 学生能够运用所学知识,进行电路图的绘制和电路搭建。
2. 学生能够通过实际操作,掌握基本的电路故障排查和解决方法。
3. 学生能够通过团队合作,共同完成跑马灯项目的设计与实现。
情感态度价值观目标:1. 学生培养对电子技术的兴趣,激发学习科学技术的热情。
2. 学生通过实践操作,增强动手能力,提高解决问题的自信心。
3. 学生在团队合作中,学会相互尊重、沟通协作,培养集体荣誉感。
课程性质:本课程为实践性较强的电子技术课程,旨在让学生通过实际操作,掌握跑马灯电路的基本原理和设计方法。
学生特点:考虑到学生所在年级的特点,课程内容以直观、易懂为主,注重培养学生的动手能力和团队协作能力。
教学要求:教师需结合课程内容和学生的实际情况,采用启发式教学,引导学生主动探索、发现问题,并在实践中解决问题。
同时,注重培养学生的安全意识,确保实践操作的安全性。
通过课程学习,使学生达到上述课程目标,为后续相关课程的学习打下坚实基础。
二、教学内容本课程教学内容围绕跑马灯电路的设计与实现展开,主要包括以下几部分:1. 跑马灯基础知识:- 跑马灯概念及其应用场景- 跑马灯电路的组成与工作原理2. 电路元件功能与选型:- 常用电子元件的认识(如电阻、电容、二极管、三极管等)- 元件在跑马灯电路中的作用及选型3. 跑马灯电路设计与搭建:- 电路图的绘制方法- 电路搭建的步骤与注意事项- 跑马灯程序设计基础4. 实践操作与故障排查:- 跑马灯电路的实际操作演练- 常见故障现象及排查方法5. 团队合作与项目实施:- 项目分组及任务分配- 团队协作完成跑马灯设计与搭建- 项目展示与评价教学内容依据教材相关章节进行组织,确保科学性和系统性。
PLC课程设计霓虹灯跑马灯
烟台南山学院PLC课程设计题目霓虹灯广告屏装置PLC设计与调试姓名:李海港所在学院:烟台南山学院所学专业:电气工程及其自动化班级:电气工程1102班学号:指导教师:姜倩倩小组成员:邱胜强马帅李海洋课程设计任务书一、基本情况学时:1周学分:1学分适应班级:10电气技术二、课程设计的意义、性质、目标、要求1.意义课程设计是PLC课程教学的最后一个环节,是对学生进行全面的系统的训练。
进行课程设计可以让学生把学过的比较零碎的知识系统化,真正的能够把学过的知识落到实处,能够开发简单的系统,也进一步激发了学生再深一步学习的热情,因此课程设计是必不少的,是非常必要的。
2.性质课程设计是提高学生PLC技术应用能力以及文字总结能力的综合训练环节,是配合PLC课程内容掌握、应用得的专门性实践类课程。
3.目标通过典型实际问题的实际,训练学生的软硬件的综合设计、调试能力以及文字组织能力,建立系统设计概念,加强工程应用思维方式的训练,同时对教学内容做一定的扩充。
4.要求(1)课程设计的基本要求PLC课程设计的主要内容包括:理论设计与撰写设计报告等。
其中理论设计又包括选择总体方案,硬件系统设计、软件系统设计;硬件设计包括单元电路,选择元器件及计算参数等;软件设计包括模块化层次结构图,程序流程图。
程序设计是课程设计的关键环节,通过进一步完善程序设计,使之达到课题所要求的指标。
课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。
(2)课程设计的教学要求PLC课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。
做到实训教学课堂化,严格考勤制度,在实训期间(一周)累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。
在实训期间需要外出查找资料,必须在指定的时间内方可外出。
课程设计的任务相对分散,每3—5名学生组成一个小组,完成一个课题的设计。
倒计时光控跑马灯电路设计
电子线路课程设计报告设计课题:倒计时跑马灯倒计时跑马灯一、设计任务该制作能自行识别工作环境,即白天“跑马灯”自行停止运行,待到傍晚天暗下来,数码管自动显示“0”时,说明“跑马灯”电路得电即可以工作运行。
此后手工按下工作键,电路延时十秒钟,在延时的同时,数码管倒计时显示“0-9-8-7-6-5-4-3-3-2-1-0”。
当其从“1-0”瞬间,“跑马灯”立即分两路工作,工作过程是:1)第一路是绿灯红灯(绿灯在前,红灯在后)在黑暗背景中流动。
当绿灯独自从头到尾流动时,这一路的任何变色管不亮,形成黑暗背景。
而绿灯在尾部消失瞬间,红灯立即出现在头部并开始从头部到尾部独自地沿原来绿灯路线在黑暗背景中流动。
当红灯在尾部消失瞬间,绿灯立即出现在头部并开始从头部到尾部独自地沿原来绿灯路线在黑暗背景中流动·····彼此循环往复。
第一路是绿灯红灯(绿灯在前,红灯在后)在橙色背景中流动。
当绿灯独自从头到尾流动时,这一路的变色管显橙色,形成橙色背景。
而绿灯在尾部消失瞬间,红灯立即出现在头部并开始从头部到尾部独自地沿原来绿灯路线在橙色背景中流动。
当红灯在尾部消失瞬间,绿灯立即出现在头部并开始从头部到尾部独自地沿原来绿灯路线在橙色背景中流动·····彼此循环往复。
直到第二天凌晨天亮后,数码管熄灭····再到傍晚时,作品有重复上述过程。
二、设计要求1)电源稳压管输出电压用绿发光二极管指示2)脉冲信号发生器输出用红发光二极管指示3)二路“跑马灯”各用五只变色发光二极管制作4)数码管得电后显示“0”,倒计时只能从“0”开始,经“0-9-8-7-6-5-4-3-3-2-1-0”再停在“0”状态,此间延时十秒。
5)“跑马灯”严格地按照“手动按下工作键后”,当倒计时至“1-0”瞬间开始运动,在运动过程中,数码管始终保持“0”态。
跑马灯的设计与仿真1(电子科大版)
光电信息学院数字电路课程设计报告课程名称:数字设计原理与实践设计题目:跑马灯设计及仿真专业:光电工程与光通信学号:2905103032 2905402009姓名:生艳梅周高翔选课号:60 712011年06月08日光电学院数字电路课程设计任务名称:跑马灯的设计及仿真专业:光电工程与光通信姓名:生艳梅周高翔指导教师:周建华(1)课程设计应达到的目的、任务及要求:控制8 个LED 进行花式显示,设计4 种显示模式:S0,从左到右逐个点亮LED;S1,从右到左逐个点亮LED;S2,从两边到中间逐个点亮LED;S3,从中间到两边逐个点亮LED。
4 种模式循环切换,复位键(rst)控制系统的运行与停止。
跑马灯的状态转换图如图所示:(2)设计思路要达到跑马灯的灯依次亮的效果。
我们只需要将跑马灯的8 盏灯用8 位二进制数表示,1 代表灯亮,0 代表灯灭,然后设计若干个状态,让clk 在上升沿处带动状态的改变。
按照要求,初始状态是全灭,即00000000 然后由左往右依次亮,既:1000000 →11000000→11100000 →………依次类推。
(3)程序如下:module ledwalk(clk,rst,led);input clk,rst;output [7:0] led;reg [7:0] led;reg [24:0] count;reg [4:0] state;wire clk;always @ (posedge clk,negedge rst) beginif(!rst)count<=0;elsecount=count+1;endalways @ (posedge clk)begin :AAcase(state)5'b00000: led=8'b00000000;5'b00001: led=8'b10000000;5'b00010: led=8'b11000000;5'b00011: led=8'b11100000;5'b00100: led=8'b11110000;5'b00101: led=8'b11111000;5'b00110: led=8'b11111100;5'b00111: led=8'b11111110;5'b01000: led=8'b11111111;5'b01001: led=8'b00000000;5'b01010: led=8'b00000001; 5'b01011: led=8'b00000011; 5'b01100: led=8'b00000111; 5'b01101: led=8'b00001111; 5'b01110: led=8'b00011111; 5'b01111: led=8'b00111111; 5'b10000: led=8'b01111111; 5'b10001: led=8'b11111111; 5'b10010: led=8'b00000000; 5'b10011: led=8'b10000001; 5'b10100: led=8'b11000011; 5'b10101: led=8'b11100111; 5'b10110: led=8'b11111111; 5'b10111: led=8'b00000000; 5'b11000: led=8'b00011000; 5'b11001: led=8'b00111100; 5'b11010: led=8'b01111110; 5'b11011: led=8'b11111111; default: disable AA; endcaseendalways @ (posedge clk) beginstate=state+1;if(state==5'b11100) state=5'b00000;endendmodule(4)仿真结果:(5)收获、体会及改进想法等:通过本次设计,我们巩固了时序逻辑的理论知识,同时也掌握了QUARTUS II时序设计的基本方法和技巧,在这个过程中遇到很多困难,比如:总线、支线、数据分配、源信号丢失等编译错误,但最终通过查阅相关资料解决了这些问题。
数电实验报告发光二极管走马灯电路设计与实现
数电实验报告发光二极管走马灯电路设计与实现北京邮电大学数字电路与逻辑设计实验实验报告实验名称:发光一极官走马灯电路设计与头现学院: 班级: 姓名: 学号: 任课老师:实验日期:成绩:实验名称和实验任务要求实验名称:发光二极管走马灯电路设计与实现实验目的:⑴进一步了解时序电路描述方法;⑵熟悉状态机的设计方法。
实验任务要求:设计并实现一个控制 8 8 个发光二极管亮灭的电路,仿真验证其功能,并下载到实验板测试。
⑴单点移动模式:一个点在 8 8 个发光二极管上来回的亮;⑵幕布式:从中间两个点,同时向两边依次点亮直至全亮,然后再向中间点灭,依次往复。
二. 设计思路和过程设计实现过程:⑴设计的电路拥有两种功能,所以设定 d d」n n 控制输出实现两种功能,规定当 d d」 n 0 =0 时,实现单点移动模式;当 d d」 n 1 =1 时,实现幕布式。
同时,时序电路中钟控是必不可少的,所以引入 clk_in 来实现钟控。
最终需输出在实验板上的 8 8 个发光二极管上验证,所以输出 f f 需设定为 8 8 端口输出, 女口:f:out std_logic_vector(7 downto 0)。
⑵单点移动模式的实现:来一个时钟沿,实现一次变化。
单点移动模式需实现发光二极管来回亮,所以需定义一个 6 16 变量的数据类型。
利用 CASE- - WHE语句实现状态的转移。
状态转移01 T 00000010 T 0001T …T 10000000 -^01000000 T ... T 00000001 ⑶幕布式的实现:需实现发光二极管从中间两个点, 同时向两边依次点亮直至全亮,然后再向中间点灭,往复。
需要 8 8 变量数据类型,利用单点式中信号类型定义给状态转移。
状态转移需满足:0000000111100 T …T 11111111^ 01111110 T …00000000 T 00011000 三. VHDL 程序发光二极管走马灯电路 I VHDI 程序: 1 library leee; 2. 二二 = re ■ std lacxc; 11 € 1 ■ a 11 r 3 \ise Leee . std lcgic unsigned, all; 弓 E ■ entity color 1aicp ±a 6 Sport ( 7 : in svd._lc?iG; R d^in r in«td_loqi.c:; 9 f:out std lcgic vectorp downto 0)}; 10 snd color_airf ; 1112 Q architecture a匚£ colorlaKp is 13 Q type all scace la (3Q f14 310 f31 丄 F 鼻 3 丄攻 r 215): 15 s 丄 gnal state:all atate; 16 ■ begm 17 Sprocess(elk in} IB begm5 if ( ellr in 1eTZEnt and ulZ in= 1 1. 1) then 20 Hif (d 1 n= 10 1) then 21 S case state 二 m 22 <hen g0=> svate<—al;f<= n C DC 0< OZC"; 23 when aZ=> stave f<= w GOGQ?"OLQ n;巧 -. :nen 32=> svaue^=a3 ; r^="Q9OQ0iOQ"; 25 v?ien a3=>state<^54; £<-"00002000"; 26 whe^ 3^=> svate<=35;f<= wOQG10QOO"; 27 when a5-> 3tate<—£<-"00100000"; 28 whe^ B 6"> 3tate<»s7;f<»w 01000000";■ if(din-"l 1 } then Q case suatm is whens0=>3tate<=sl;f<="00011COO*; when 31">3ta 匸览 whens2=>fltat&<=33;f<-"01111110"; WhMD »3 : =>HtHt :P< = H4 ; f<=" J * 1 ;: 1 11 " ; when □4->otate< - aS ;£< i-n 0111111Q n ; when =5->5tate<-36;£<-"Q0111100 _ ; whans^=>3tate<=s7 ;£<="QOOHQOO H ; when 盘丁=>日匸且匸豐 V■且。
EDA课程设计跑马灯设计
第一章设计内容与设计方案1.1课程设计内容控制8个LED进行花样性显示。
设计4种显示模式:s0,从左到右逐个点亮LED;s1,从右到左逐个点亮LED;s2,从两边到中间逐个点亮LED;s3,从中见到两边逐个点亮LED。
4种模式循环切换,复位键(rst)控制系统的运行停止。
数码管显示模式编号。
可预置彩灯变换速度,4档快、稍快、中速、慢速,默认工作为中速。
1.2设计方案在掌握常用数字电路功能和原理的基础上,根据EDA技术课程所学知识,以及平时实验的具体操作内容,利用硬件描述语言HDL,EDA软件QuartusⅡ和硬件平台cycloneⅡFPGA进行一个简单的电子系统设计,本次课程设计采用Verilog HDL硬件描述语言编写控制程序,应用Quartus Ⅱ软件实现仿真测试。
采用FPGA芯片对LED灯进行控制,使其达到流水跑马灯显示的效果,LED灯采用共阳极接法,当给它一个低电平时,LED点亮,我们利用移位寄存器使各输出口循环输出高低电平,达到控制的目的。
2.1设计原理及设计流程本次试验我所完成的内容是跑马灯的设计,下面我简单的进行一下原理的阐述。
跑马灯课程设计的要求是控制8个LED进行花样显示,设计四种显示模块:第一种显示是从左向右逐个点亮LED。
第二种显示:从右向左逐个点亮LED。
第三种显示:从两边向中间逐个点亮LED。
第四种显示:从中间到两边逐个点亮LED。
四种显示模式循环切换,并带有一位复位键控制系统的运行停止。
为了完成要求的效果显示,由于要求比较简单,所以不用分为很多模块来具体控制,所以我先择利用移位寄存器来完成灯的点亮,我们将LED灯采用共阳极接法,当给于低电平时点亮,那么当我们需要点亮某位LED灯时,只需在该位上赋予低电平即可,比如:如果我们要实现8个数码灯从左到右依次点亮,那么我们就可以给这8个数码灯分别赋值10000000,经过一段时间的延时后再给其赋值01000000,再经过一段时间延时后再给其赋值00100000,依次类推,则最后一种赋值状态为00000001,这样就得到了相应的现象。
时钟和跑马灯电路课设
电子课程设计题目:时钟和跑马灯电路学院:计算机科学与技术专业:学号:姓名:指导:前言当今的社会是一个新技术层出不穷的时代,科技迅速发展,在电子领域的发展更是迅速,同时也在影响着我们的生活。
随着人民生活水平的提高,流水灯在现实生活中所起的作用越来越重要。
例如:在人流拥挤繁忙的交通路段,闪烁着的流水交通灯,提醒着我们要遵纪交通规则,在霓虹闪烁的繁华大街上,闪烁的流水灯无不吸引过路人的眼球,甚至在一些大型商场大厦的自动门上都装有自动流水灯,告诉人们的时间和日期。
通常的流水灯是应用单片机设计的,而单片机的设计成本较高,对编程的要求也比较高,由于我们学习了数字电路,所以采用了小型集成电路设计流水灯。
摘要:流水灯的设计要求在预定的时间到来时,会产生一个控制信号控制LED灯的流向、间歇等,LED灯流向可以随着电路的改变而改变,并具有自控、手控、流向控制功能等。
主要参考数字电路中计数器的原理。
NE555振荡器的作用等相关知识在设计的过程中需要了解相关芯片(CD4017、NE555)的具体功能。
认真连接设计电路,由于最后流水灯的流向十分的清晰和稳定,所以所得的结果测试十分简洁并且很成功。
经过资料的查阅,小组的讨论,以及几种方案的比较,选定方案参考如下:整个流水灯的电路由振荡电路、译码电路和光源电路组成,电路的组成包括2个电容、10个电阻器和1个CD4017计时器及1个NE555定时器等元器件构成。
目录一.NE555和CD4017跑马灯设计 (4)1.设计思路 (4)2.电路原理图及电路原理 (4)2.1电路图 (4)2.2电路原理 (4)二.主要元器件原理及相关计算 (5)1.材料清单 (5)2.NE555的功能原理 (6)3.CD4017的功能原理 (11)三.焊接与调试 (17)1.焊接质量标准 (17)2.不良焊接现象的判别 (19)3.调试 (21)四.注意事项 (21)五.小结 (22)六.参考文献 (22)一.NE555和CD4017跑马灯设计1.设计思路如上图所示,跑马灯电路控制电路和输出电路组成,插上电源后便可实现几只灯循环,亮着的跑马灯。
eda跑马灯课程设计
eda跑马灯课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握跑马灯电路的设计原理。
2. 学生能描述跑马灯电路中各个元件的功能及相互关系,理解其工作过程。
3. 学生掌握数字电路基础知识,了解进制转换方法及其在跑马灯设计中的应用。
技能目标:1. 学生能运用所学知识,使用EDA软件进行跑马灯电路的设计与仿真。
2. 学生能通过编程实现对跑马灯电路的控制,培养实际操作能力。
3. 学生具备分析并解决跑马灯设计过程中遇到问题的能力。
情感态度价值观目标:1. 学生在课程学习中,培养对电子设计的兴趣,提高创新意识和实践能力。
2. 学生通过团队协作完成课程任务,培养团队精神和沟通能力。
3. 学生认识到电子设计在实际应用中的重要性,激发社会责任感和使命感。
本课程针对高年级学生,结合电子设计相关知识点,注重理论知识与实际操作相结合。
课程目标旨在帮助学生掌握EDA跑马灯电路设计的基本方法,提高学生运用所学知识解决实际问题的能力,培养学生对电子设计的兴趣和情感。
通过分解课程目标为具体的学习成果,为后续教学设计和评估提供依据。
二、教学内容本章节教学内容以《电子技术基础》教材中关于数字电路及EDA技术的内容为基础,结合以下要点展开:1. 数字电路基础知识:包括逻辑门电路、触发器、计数器等基本概念和功能。
2. EDA软件介绍:讲解EDA软件的基本操作、原理图绘制、电路仿真等。
3. 跑马灯电路设计:- 电路原理:介绍跑马灯电路的工作原理、元件选用及连接方式。
- 编程控制:讲解如何利用编程语言(如Verilog HDL)实现对跑马灯电路的控制。
- 进制转换:分析进制转换方法在跑马灯设计中的应用。
4. 电路仿真与调试:教授如何使用EDA软件进行跑马灯电路的仿真、调试及优化。
教学大纲安排如下:第一课时:数字电路基础知识回顾,介绍EDA软件及其基本操作。
第二课时:跑马灯电路原理讲解,分析电路元件及连接方式。
数电课程设计跑马灯
数电课程设计跑马灯一、课程目标知识目标:1. 理解数字电路基础知识,掌握基本逻辑门的功能和运用;2. 学会使用触发器、计数器等组件设计简单的时序逻辑电路;3. 掌握跑马灯电路的原理,了解其设计过程和实现方法。
技能目标:1. 能够运用所学知识,设计并搭建简单的数字电路;2. 能够分析和解决跑马灯电路中可能出现的问题;3. 培养动手实践能力,提高团队协作能力。
情感态度价值观目标:1. 培养学生对数字电路的兴趣,激发学习热情;2. 培养学生严谨的科学态度,注重实践与理论相结合;3. 培养学生的创新意识,鼓励探索未知领域。
课程性质:本课程为电子技术课程的一部分,主要针对数字电路设计进行教学。
通过跑马灯电路的设计,使学生掌握数字电路的基本原理和设计方法。
学生特点:学生已具备一定的电子技术基础,对数字电路有一定了解,但实践经验不足。
教学要求:结合学生特点,注重理论与实践相结合,强调动手实践,培养学生的创新能力和团队协作能力。
将课程目标分解为具体的学习成果,以便在教学设计和评估中实现有效监控。
二、教学内容1. 数字电路基础知识回顾:逻辑门(与门、或门、非门等)、触发器(RS触发器、D触发器等)、计数器(二进制计数器、十进制计数器等)。
相关教材章节:第一章 数字逻辑基础2. 跑马灯电路原理:介绍跑马灯电路的构成、工作原理及其在数字电路中的应用。
相关教材章节:第三章 时序逻辑电路3. 跑马灯电路设计:讲解跑马灯电路的设计方法,包括电路图绘制、元件选型、电路搭建等。
相关教材章节:第四章 数字电路设计实例4. 动手实践:分组进行跑马灯电路的设计与搭建,培养学生动手实践能力和团队协作精神。
教学内容安排与进度:第一课时:回顾数字电路基础知识,讲解跑马灯电路原理。
第二课时:讲解跑马灯电路设计方法,制定设计方案。
第三课时:分组进行跑马灯电路的设计与搭建,教师巡回指导。
第四课时:展示各组跑马灯电路成果,总结经验教训。
教学内容注重科学性和系统性,结合教材章节和课程目标,确保学生在学习过程中掌握数字电路的基本原理和设计方法。
闪烁式跑马灯课程设计
闪烁式跑马灯课程设计一、课程目标知识目标:1. 学生能理解跑马灯的基本原理,掌握闪烁式跑马灯电路的设计与搭建。
2. 学生能够运用所学的电子元件知识,正确选择并使用所需的元器件。
3. 学生理解并掌握跑马灯程序设计的基本思路,能够编写简单的跑马灯程序。
技能目标:1. 学生通过动手实践,培养电路搭建和调试的能力。
2. 学生通过编程实践,提高逻辑思维能力和问题解决能力。
3. 学生能够运用团队协作,共同完成跑马灯项目的制作。
情感态度价值观目标:1. 学生培养对电子技术的兴趣,激发学习科学技术的热情。
2. 学生在学习过程中,树立正确的价值观,认识到科技进步对生活的影响。
3. 学生通过团队协作,培养沟通与合作的意识,增强团队荣誉感。
课程性质:本课程为电子技术实践课,以项目式教学为主,注重学生的动手实践能力和创新思维能力的培养。
学生特点:本课程针对的是初中年级学生,他们对电子技术有一定的好奇心,喜欢动手实践,但理论知识相对薄弱。
教学要求:结合学生特点,教师应以引导为主,让学生在实践中学习,注重培养学生的创新意识和实际操作能力。
在教学过程中,将课程目标分解为具体的学习成果,以便于教学设计和评估。
二、教学内容1. 理论知识:- 介绍跑马灯的基本原理,包括电路工作原理和程序设计思路。
- 讲解并认识所需的电子元器件,如LED灯、电阻、微控制器等。
- 概述编程基础知识,如循环结构、条件语句等。
2. 实践操作:- 搭建闪烁式跑马灯电路,学习电路连接和调试方法。
- 编写跑马灯程序,掌握程序设计的基本步骤和技巧。
- 实践团队协作,共同完成跑马灯项目制作。
3. 教学大纲:- 第一阶段(1课时):介绍跑马灯原理和电子元器件,明确学习目标。
- 第二阶段(2课时):指导学生搭建电路,讲解编程基础知识。
- 第三阶段(3课时):编写程序,调试电路,完成跑马灯制作。
- 第四阶段(1课时):成果展示,总结评价,交流经验。
4. 教材关联:- 本教学内容与教材中关于电子技术基础、编程入门等章节相关。
数电课设-走马灯
课 程 设 计2010 年 6 月 29 日学 号:题 目 彩灯循环显示控制电路设计学 院 信息工程学院 专 业 通信工程班 级姓名指导教师课程设计任务书学生姓名:专业班级:指导教师:工作单位:信息工程学院题目: 彩灯循环显示控制电路设计初始条件:Multisim仿真软件;芯片:74HC90, 74HC112等。
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1、设计出完整的彩灯循环显示控制电路设计电路设计;2、对电路原理各部分进行准确的分析;3、写出电路的工作过程;4、对设计电路进行准确的仿真;5、能够分析出理论与实际的误差原因;6、本课设的技术要求较简单,能了解原理及分析仿真结果即可。
时间安排:19周理论讲解及任务安排;20周方案设计、仿真及制作;20周答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (I)Abstract (II)1.Multisim软件简介 (2)2.设计目的、任务及要求 (4)2.1设计目的 (4)2.2设计任务 (4)2.3设计要求 (4)3.电路设计 (5)3.1设计构思 (5)3.2芯片介绍 (5)3.3原理设计 (7)3.3.1数列循环电路设计 (7)3.3.2数字显示电路 (8)3.3.3二分频电路设计 (11)3.3.4脉冲发生电路 (11)3.3.5显示电路 (12)4.总体电路设计 (14)5.电路仿真 (16)5.1脉冲电路仿真 (16)5.2二分频电路仿真 (17)5.3整体电路仿真 (18)6.实物的制作 (19)6.1实物的焊接 (19)6.2电路的调试 (19)7.心得体会 (21)参考文献 (22)附录 (23)摘要本次数电课设是基于数字时序电路原理制作的,包括电路原理的设计,电路的仿真,和实物制作与调试。
仿真所用的是Multisim软件。
Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
徐州工程学院
课程设计报告
( 2012 -- 2013 年度第一学期)
名称:数字电子技术课程设计
题目:跑马灯
院系:
班级:
学号:
学生姓名:
指导教师:
设计周数: 1
成绩:
日期:2013年01月15日
目录
一、课程设计的目与要求
1.1设计目的 (3)
1.2设计要求 (3)
1.3主要技术指标 (3)
二、设计正文
2.1.设计分析 (3)
2.2.设计思路 (3)
2.3芯片资料 (3)
2.4.设计流程图 (8)
2.5 设计原理图 (8)
2.6.仿真图原理图 (9)
2.7.仿真现象 (9)
2.8时序逻辑 (10)
2.9PCB图 (11)
2.10实物图 (11)
2.11实物演示 (12)
三、设计结论与心得 (13)
四、参考文献 (13)
五、附图 (14)
一、课程设计的目与要求:
1.1、设计目的;
1.熟悉和掌握数字电路元件的特性和使用方法
2.深入理解数字电子技术基础的学习
3.锻炼自我思考,设计电路的能力
4.锻炼动手,实践能力
1.2、设计要求:
1.根据技术指标要求确定电路形式,分析工作原理,计算元件参数
2.列出所用元器件清单并购买
3.安装调试所设计的电路,使之达到设计要求
4.记录实验结果
5.撰写设计报告
1.3、主要技术指标:
1.实现8灯循环点亮
2.间隔时间可调
3.广告灯的样式自定
二、设计正文:
2.1.设计分析:
我们设计的跑马灯实际上是主要使用一个74LS161,一个74LS138,一个74LS20对8个LED进行控制,产生循环控制的效果。
形成一个流水似的广告灯,并且通过一个74ls161对输入脉冲进行分频,实现循环速度可调。
2.2.设计思路:
首先我们利用二进制计数器74ls161对外界输入脉冲进行计数,并且通过输出端输出对应的二进制数码,再利用74ls138译码器对74ls161输出的二进制数进行译码,由此产生八位LED流水的效果,计数时需要对计数只进行限定,利用74ls20与74ls161利用反馈归零法限定计数只为8.
2.3芯片资料:
(1)集成二进制计数器74LS161
74LS161是4位二进制同步加法计数器,除了有二进制加法计数功能外,还具有异步清零、同步并行置数、制加法计数功能外,还具有异步清零、同步并行置数、保持等功能。
由表可知,74LS161具有以下功能。
①异步清零。
=0时,计数器输出被直接清零,与其他输入端的状态无关。
②同步并行预置数。
在RD=1条件下,当 =0且有时钟脉冲CP的上升沿作用时,A3、A2、A1、A0输入端的数据 d3、d2 、d1、d0将分别被所接收。
③保持。
在 = =1条件下,当ET•EP=0,不管有无CP脉冲作用,计数器都将保持原有状态不变。
这时,当EP=0,ET=1时,进位输出RCO也保持不变;而当ET=0、EP=1时,则电路各级触发器状态不变,进位输出RCO=0,即进位输出为低电平0。
④计数。
当 = =EP=ET=1时,CP端输入计数脉冲时,计数器进行二进制加法计数。
这时进位输出RCO=Q3Q2Q1Q0 ,即由Q3~Q1决定。
(2)74LS138(三线八线译码器)
集成三线八线译码器,可以将三个输入的二进制数码译码为电平,转换为八个输出信号。
74ls20(四输入与非门)
这74ls20芯片的功能很简单,就是包含两个4输入与非门,内含两组4与非门第一组:1,2,4,5输入6输出。
第2组:9,10,12,13输入8输出。
2.4.设计流程图:2.5.设计原理图:
2.6.仿真图原理图:2.7.仿真现象:
2.8时序逻辑
2.9PCB图2.10实物图:
2.11实物演示:
三、设计结论:
在外界脉冲信号输入情况下,能够实现设计要求的流水灯现象和频率可调,设计成
功
设计心得:
3.1对于理论知识的掌握很重要
3.2理论知识应用于实践的能力有待继续提高
3.3设计思路的严密性对于设计的成功起到了重要作用
3.4电路板PCB制作过程还不是很熟悉,设计的PCB板子布线的粗细和焊盘的大小,
安全间距问题欠缺考虑
3.5电路焊接的细致性有待提高
四、参考文献:
【1】杨志忠卫桦林数字电子技术基础第二版高等教育出版社 2009.7
【2】李维数字电路课程设计及实验第一版大连理工大学出版社 2008.9
附件:
1.附图:
附表:
元件清单:
名称型号数目
二进制计数器74LS161 2
三线-八线译码器74LS138 1
四输入与非门74LS20 1
拨动开关-- 4
插针-- 若干
导线-- 若干。