一个十字路口的交通灯控制系统设计报告
交通灯设计方案
3、交通灯控制模块
交通灯控制模块
主路红绿灯控制模块 支路红绿灯控制模块
LED显示 数码管显示
主要用状态机编写,主要是绿—>黄—>红—>绿……之间的状态转换, 并且通过倒计时将时间和信号指示分别通过数码管和LED灯显示出来
4、LED设计
1Hz时钟 模块
主路/支路红 绿灯控制模
块
绿灯指示 LED1/LED3 黄灯灯指示 LED1+LED2/LED3+LED4闪烁
一、功能分析
1、功能要求
作为一个十字路口交通信号灯控制系统,每条道路都需要有一组红、绿、 黄灯和倒计时计数器,用于指挥车辆的有序通行。为便于区分,将十字路口 交通信号灯分为主路a和支路b,应具有以下功能:
(1) 主路a和支路b各设置两组(双向)红灯、绿灯、黄灯,以指示通行状态: 同时还设置数字式的时间显示,以倒计时方式显示每一路允许通行或禁止通 行的剩余时间。
5、数码管设计
主路/支路红 绿灯控制模
块
倒计时显示
8段数码
1KHz扫描 模块
动态扫描
输出四个数码管,每条线路两个数码管显示。亮红灯时数码管显示29到0,亮黄灯时,数码管显示04 到00;亮绿灯时,数码管显示24到00。数码管上的数字每过1秒变一次(count位1s的计时器)。
三、注意事项
1、数码管计数范围和不同LED灯点亮要一一对应,变化时不能有时差; 2、两组数码管不宜分开写,因为数码管行扫描输出接口只有一组,分开写接口 不好设置; 3、数码管计数时,时间要控制好,每秒计数减一。
(2) 具有复位功能,当出现故障时,可复位回到初始设置状态。 (3) 当主路a或支路b出现紧急情况时,按紧急情况键可进入紧急情况状态, 各方向(两路)均亮红灯。当特殊情况结束时,控制其恢复到电路的原来状态继 续运行。
交通灯控制电路的设计(实验报告)
交通信号灯控制电路的设计一、设计任务与要求1、任务用红、黄、绿三色发光二极管作为信号灯,设计一个甲乙两条交叉道路上的车辆交替运行,且通行时间都为25s的十字路口交通信号灯,并且由绿灯变为红灯时,黄灯先亮5s,黄灯亮时每秒钟闪亮一次。
2、要求画出电路的组成框图,用中、小规模集成电路进行设计与实现用EAD软件对设计的部分逻辑电路进行仿真,并打印出仿真波形图。
对设计的电路进行组装与调试,最后给出完整的电路图,并写出设计性实验报告。
二、设计原理和系统框图(一)设计原理1、分析系统的逻辑功能,画出其框图交通信号灯控制系统的原理框图如图2所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲信号发生器是该系统中定时器和该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图1 交通灯控制电路设计框图图中:Tl:表示甲车道或乙车道绿灯亮的时间间隔为25s,即车辆正常通行的时间间隔。
定时时间到,Tl=1,否则,Tl=0.Ty:表示黄灯亮的时间间隔为5s。
定时时间到,Ty=1,否则,Ty=0。
St:表示定时器到了规定的时间后,由控制器发出状态转换信号。
它一方面控制定时器开始下一个工作状态的定时,另一方面控制着交通信号灯状态转换。
2、画出交通信号灯控制器ASM图(1)甲车道绿灯亮,乙车道红灯亮。
表示甲车道上的车辆允许通行,乙车道禁止通行。
绿灯亮足规定的时间隔TL时控制器发出状态信号ST转到下一工作状态。
(2)乙车道黄灯亮乙车道红灯亮。
表示甲车道上未过停车线的车辆停止通行已过停车线的车辆继续通行乙车道禁止通行。
黄灯亮足规定时间间隔TY时控制器发出状态转换信号ST转到下一工作状态。
(3)甲车道红灯亮乙车道绿灯亮。
表示甲车道禁止通行乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时 控制器发出状态转换信号ST转到下一工作状态。
十字路口交通灯控制设计_十字路口交通灯控制设计(PLC设计课件)
接通, 当T1定时器ET值大于1s时,
接通,Q0.0得电,
A灯亮; 当T1定时器ET值大于2s时,
断开,Q0.0失电,A灯灭。
三、举例
任务3 十字路口交通灯控制设计
M0.0
T#4S
T1
TON
Time
IN
Q
PT
ET
T1.ET
T1.ET
Q0.1
B灯
T#2S
T#3S
M0.0启动后,T1定时器开始定时,ET值开始发生变化;此时ET值小于3s,
任务3 十字路口交通灯控制设计
一、比较指令符号
可以使用“等于”操作确定第一个 比较值是否等于第二个比较值。 要比 较的两个值必须为相同的数据类型。
该 LAD 触点比较结果为 TRUE 时, 则该触点会被激活。 在程序编辑器中 单击该指令后,可以从下拉菜单中选择 比较类型和数据类型。
任务3 十字路口交通灯控制设计
输出继电器 Q0.0 Q0.1 Q0.2 Q0.3 Q0.4 Q0.5
输出
输出元件 东西方向绿灯HL1 东西方向黄灯HL2 东西方向红灯HL3 南北方向绿灯HL4 南北方向黄灯HL5 南北方向红灯HL6
任务3 十字路口交通灯控制设计
二、硬件电路-PLC外部电路接线
24V
输出
电源
L N PE
QF
FU 1
三、梯形图设计
比较指令
按下启动按钮,当定时时间到达3S时,灯亮,定时时间到达5S时,灯灭。
一个定时器,完成3S,5S控制
循环
T0
M0.0 T0.Q
TON
Time
IN
Q
T#5s PT
ET
3S灯亮,5S灯灭
EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器
交通灯控制器设计一.系统功能设计要求设计制作一个用于十字路口的交通灯控制器,要求如下:(1)南北和东西方向各有一组红、绿、黄灯来指挥交通,持续时间分别为25S,20S,和5S。
(2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止。
(3)当特殊情况结束后,控制器恢复原来状态,继续正常运行。
(4)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。
二.设计原理1.交通灯控制器的状态转换根据题目要求将将红绿灯的状态转换列成如下表:2.设计方案1)由于交通灯需要使用2位7段LED数码管指示通行剩余时间,故采用LED动态扫描方式显示当前时间。
频率设定CLK1k对应的频率为50MHZ。
2)控制模块是交通灯的核心,主要控制交通灯按工作顺序自动变换,同时控制倒计时模块工作,每当倒计时回零时,控制模块接收到一个计时信号,从而控制交通灯进入下一个工作状态。
3)每个方向有一组2位倒计时器模块,用以显示该方向交通灯剩余的点亮时间。
4)显示模块由两部分组成,一是由七段数码管组成的倒计时显示器,每个方向两个七段数码管;二是由发光二极管代替的交通灯,每个方向3个发光二极管。
三.变量符号说明其中,CLK1K为系统时钟信号输入端,SN为禁止通行信号输入通行信号输入端,light0为东西红灯信号输出端,light1为东西黄灯信号输出端,light2为东西绿灯信号输出端,light3为南北红灯信号输出端,light4为南北黄灯信号输出端,light5为南北绿灯信号输出端,led1、led2、led3、led4为数码管地址选择信号输出端。
四.代码说明library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Hongld ISport (clk1k,SN:in std_logic; --SN紧急情况led1, led2, led3, led4 :out std_logic_vector (6 downto 0);--显示管显示时间用light:out std_logic_vector (5 downto 0)); --红绿黄灯end Hongld;architecture traffic1 of Hongld ISsignal S:std_logic_vector (1 downto 0); --状态signal DXT:std_logic_vector(7 downto 0):=X"01"; --东西方向时间signal NBX:std_logic_vector(7 downto 0):=X"01"; --南北方向时间signal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto 0); --红绿黄灯信号signal temp: integer range 0 to 49999999; --产生1s计数器时计数signal clk: std_logic;beginART<="00100101";AGT<="00100000";AYT<="00000100";BRT<="00100101";BGT<="00100000";BYT<="00000100";process(clk1k) -- 选频率为50MHZ beginif (clk1k'event and clk1k='1') thenif temp=49999999 thentemp<=0;clk<='1';elsetemp<=temp+1;clk<='0';end if;end if;end process;process(clk,DXT,NBX) --状态转换进程beginif clk'event and clk ='1' thenif(DXT ="00000001")OR (NBX = "00000001") then S<=S+1;else S<=S;end if; --状态转换结束end if;end process;process (clk,SN,S) --倒计时模块beginif SN = '1' then DXT<=DXT; NBX<=NBX;elseif clk'event and clk='1' thenif (DXT="0000000") OR (NBX="00000000") thencase S ISwhen "00"=>DXT<=ART; NBX<=BGT; --南北红灯、东西绿灯when "01"=>NBX<=BYT; --南北红灯、东西黄灯when "10"=>DXT<=AGT; NBX<=BRT; --南北绿灯、东西红灯when "11"=>DXT<=AYT; --南北黄灯、东西红灯when others=>NULL;end case;end if;if DXT/="00000000" thenif DXT(3 downto 0)= "0000" thenDXT(3 downto 0)<="1001";DXT(7 downto 4)<=DXT(7 downto 4)-1;else DXT(3 downto 0)<=DXT(3 downto 0)-1;DXT(7 downto 4)<=DXT(7 downto 4);end if;end if;if NBX/="00000000" thenif NBX(3 downto 0)="0000" thenNBX(3 downto 0)<="1001";NBX(7 downto 4)<=NBX(7 downto 4)-1;else NBX(3 downto 0)<=NBX(3 downto 0)-1;NBX(7 downto 4)<=NBX(7 downto 4);end if;end if;end if;end if;end process; --倒计时模块结束process(DXT,NBX,S,SN) --显示模块begincase NBX(3 downto 0) iswhen "0000"=>led1<="1000000";when "0010"=>led1<="0100100"; when "0011"=>led1<="0110000"; when "0100"=>led1<="0011001"; when "0101"=>led1<="0010010"; when "0110"=>led1<="0000010"; when "0111"=>led1<="1111000"; when "1000"=>led1<="0000000"; when "1001"=>led1<="0010000"; when others=>led1<="1111111"; end case;case NBX(7 downto 4) iswhen "0000"=>led2<="1000000"; when "0001"=>led2<="1111001"; when "0010"=>led2<="0100100"; when "0011"=>led2<="0110000"; when "0100"=>led2<="0011001"; when "0101"=>led2<="0010010"; when "0110"=>led2<="0000010"; when "0111"=>led2<="1111000"; when "1000"=>led2<="0000000"; when "1001"=>led2<="0010000"; when others=>led2<="1111111"; end case;case DXT(3 downto 0) iswhen "0000"=>led3<="1000000"; when "0001"=>led3<="1111001"; when "0010"=>led3<="0100100"; when "0011"=>led3<="0110000"; when "0100"=>led3<="0011001"; when "0101"=>led3<="0010010"; when "0110"=>led3<="0000010"; when "0111"=>led3<="1111000"; when "1000"=>led3<="0000000"; when "1001"=>led3<="0010000"; when others=>led3<="1111111"; end case;case DXT(7 downto 4) iswhen "0000"=>led4<="1000000"; when "0001"=>led4<="1111001"; when "0010"=>led4<="0100100";when "0100"=>led4<="0011001";when "0101"=>led4<="0010010";when "0110"=>led4<="0000010";when "0111"=>led4<="1111000";when "1000"=>led4<="0000000";when "1001"=>led4<="0010000";when others=>led4<="1111111";end case;if SN ='1' then light<="001001";elsecase S ISwhen "00"=>light<="010001";when "01"=> light <="100001";when "10"=> light <="001010";when "11"=> light <="001100";when others=>NULL;end case;end if;end process;end traffic1;五.仿真波形图仿真时序波形图。
一个十字路口的交通灯控制系统设计报告
一个十字路口的交通灯控制系统设计报告设计目标:1.安全性:确保交通流畅且安全,减少交通事故的发生。
2.效率性:提高交通流量,减少交通拥堵。
3.能源效率性:最大限度地利用交通信号灯的能源,降低能源的浪费。
设计原则:1.灵活性:能够根据交通流量和实时情况调整信号灯的时序。
2.自动化:通过传感器和算法实现自动控制,减少人为干预的依赖。
3.可扩展性:能够方便地增加或减少交叉口的信号灯控制单元。
4.可靠性:确保系统能够长时间稳定运行,减少故障发生的可能性。
5.经济性:设计成本较低,并考虑到未来维护和更新的成本。
系统设计:1.传感器:安装在交叉口附近的传感器,如压力传感器和红外线传感器,用于检测交通流量和车辆的位置。
2.控制单元:使用微控制器或PLC作为交通灯控制单元,接收传感器的数据,并根据预设的算法调整信号灯的时序。
3.信号灯:交叉口设置适当数量的红绿灯,通过控制单元来切换信号灯的状态。
4.网络连接:将交叉口的控制单元连接到互联网,以实现远程监控和管理。
工作原理:1.传感器检测到交通流量和车辆位置的变化。
2.传感器将数据传输给控制单元。
3.控制单元根据预设的算法分析传感器数据,确定相应的时序。
4.控制单元根据时序控制信号灯的状态,并将控制信号发送给信号灯。
5.信号灯根据控制单元的信号进行状态转换。
6.控制单元可通过网络连接进行远程监控和管理,以便及时调整交通流量控制。
总结:一个十字路口的交通灯控制系统需要从安全性、效率性和能源效率性等角度来设计。
通过传感器和控制单元实现自动控制,确保交通流畅且安全,并降低能源浪费。
系统设计需要考虑灵活性、自动化、可扩展性、可靠性和经济性等原则,并通过网络连接实现远程监控和管理。
交通灯报告
课程设计设计题目:十字路口自动红绿灯指挥系统2014年7月9日目录一、设计内容 (1)(一)设计题目 (1)(二)主要指标及要求 (1)二、设计方案 (1)三、方案选择及电路工作原理 (1)(一)工作原理分析 (1)(二)单元模块设计及分析 (1)1.时钟信号脉冲发生器设计 (2)2.倒计时计数器设计 (3)3.状态计数器设计 (6)4.数据选择器设计 (8)5.延时电路设计 (10)6.红绿黄灯的设计 (11)四、仿真及结果 (12)(一)仿真过程分析 (12)(二)仿真结果 (13)五、总电路图 (13)六、元器件清单(表) (14)七、实验结果 (14)八、心得体会 (14)九、参考文献 (15)一、设计内容(一)设计题目十字路口自动红绿灯指挥系统。
设计一个十字路口交通信号灯控制器,用于控制交通主干道的交通灯和计时器,自动指挥干道车辆和行人轮流通行,保证车辆和行人安全通行。
(二)主要指标及要求1.自动完成绿-黄-红-绿-……工作循环;2.每种信号灯亮的时间不等,如:绿灯亮20秒-黄灯亮5秒-红灯亮15秒,如此循环;3.用倒计时的方法,数字显示当前信号的剩余时间,提醒行人和司机;4.(*) 信号灯的时间分别可调,以适应不同路口,不同路段交通流量的需求。
二、设计方案三、方案选择及电路工作原理(一)工作原理分析红绿黄灯工作分三个阶段,即有三个状态T0、T1、T2。
在状态T0停留15秒,此间红灯亮,然后转至状态T1。
在状态T1停留20秒,此间绿灯亮。
20秒后转至T2,在状态T2下,黄灯亮5秒,5秒后返回状态T0。
交通灯控制系统由时钟信号脉冲发生器、倒计时计数器、状态计数器、数据选择器以及延时电路组成。
状态计数器可以输出3个状态信号:T0、T1和T2,编码分别为00、01、10,由QBQA 表示。
三个状态信号分别控制红绿黄三种颜色的灯。
三个状态信号通过控制数据选择器,控制每个状态的不同计数。
倒计时计数器的DCBA 与数据选择器输出相连,A B C D Q Q Q Q 与数码管相连,实现倒计时显示功能。
(完整版)十字路口交通信号灯PLC控制系统毕业设计
十字路口交通信号灯PLC控制系统设计题目:十字路口交通信号灯PLC控制系统院系名称:专业班级:学生姓名:导师姓名:职称:二○一五年月目录摘要 (4)第1章绪论 (5)1.1 引言 (5)1.2 课题的背景 (5)1.3 课题研究的目的意义 (6)1.4 国内外现状及未来发展趋势 (7)1.4.1 国外发展现状 (8)1.4.2 国内发展现状 (8)1.4.3 未来发展趋势 (9)1.5课题研究的主要内容 (9)第2章控制系统总体方案与技术要求 (11)2.1 系统的基本要求 (11)2.1.1信号灯的基本构成 (11)2.1.2基本控制要求 (12)2.2 PLC的结构及原理 (13)2.2.1 PLC的分类 (13)2.2.2 PLC的基本结构及原理 (13)2.2.3 PLC设计的基本原则 (14)2.3 PLC的选用 (15)2.4 本章小结 (16)第3章信号灯控制系统的设计 (17)3.1 信号灯结构设计 (17)3.1.1工作时序图 (17)3.1.2可编程控制器I/O端口分配 (19)3.1.3程序梯形图指令表 (19)3.1.4信号灯的PLC外部连线图 (22)结论 (24)参考文献 (25)致谢 (26)摘要随着社会的发展和进步以及人民生活水平的提高,上路的车辆越来越多,但相应的公路设施却没有相应的改善,这就导致了城市交通拥堵问题突出,而且拥堵的地方多是十字路口等车辆汇集处。
如何改善交通灯控制系统,以适应现在的交通状况,成为竞相研究的课题,本文对该问题给予了深刻地研究。
本文十字路口交通灯控制系统主要用于处理十字路口车辆及行人通过的问题,使其减少相互干扰,提高了十字路口的通行能力。
本文总结了交通灯控制技术的发展,讨论了基于PLC的十字路口交通信号灯控制系统的设计可行性。
根据PLC的工作原理并结合城市交通的实际状况,本文提出了以三菱公司生产的FX2N-128MT-001型PLC作为基本控制核心,安排了四个方向的直行、左转红黄绿灯,人行道红绿灯以及倒计时数码管的具体配置;设计完成了PLC的I/O端口分配和控制程序;探索了基于红外遥控的十字路口交通信号灯的无线强通控制方案并设计了具体的硬件电路及软件控制程序。
交通灯控制系统课程设计报告(参考)
衢州学院微机原理及应用课程设计报告交通灯控制系统姓名:学号:专业班级:指导老师:所在学院:年月日摘要关键词:单片机,交通灯本设计是在熟练掌握单片机及其仿真系统使用方法基础上,综合应用单片机原理、微机原理、电子技术等课程方面的知识,设计一个采用AT89S52单片机控制的交通灯控制电路。
该设计给出了一种简单低成本交通灯控制系统的硬件和软件设计方案、及其各个路口交通灯的状态循环显示,并通过程序流程图详细进行讲解与分析。
十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。
那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。
交通信号灯控制方式很多。
本系统采用MSC-51系列单片机ATS89S52设计交通灯控制器,红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示,红绿灯信号通过P2口输出,显示时间直接通过单片机P0口和选择端送至双位数码管,通过扫描程序实现东西和南北方向数据的显示,同时能用控制按键设置红、绿灯点亮时间,当遇到特殊情况时,可设置仅南北方向通行或东西方向上通行,或者两个方向上都为红灯,在此情况下,报警器会发出报警,提醒过往行人。
本系统实用性强、操作简单。
本设计是模仿交通灯控制系统设计的一个交通灯控制电路。
系统硬件的设计部分采用AT89S52单片机为核心器件,加以复位电路,时序电路构成最小系统,并通过驱动电路、数码管及晶体管构成显示部分。
通过中断扩展实现交通灯系统特殊情况的转换。
系统软件的设计部分分为一个主程序和两个中断子程序,一个用于有紧急车辆通过时,系统要能禁止普通车辆通行,实行中断可使A(东西道)、B(南北道)两道均亮红灯;另一个用于一道有车而另一道无车时,通过控制交通灯系统能立即让有车道放行,假如A道有车B道无车,按一下K0可以控制交通灯系统能立即让东西道放行,再按恢复;假如南北道有车东西道无车,按一下K1可以控制交通灯系统能立即南北道放行,再按恢复。
目录1.概述 (3)1.1本次课程设计的意义 (3)1.2本次课程设计的任务及要求 (3)2 系统总体方案及硬件设计 (4)2.1总体设计方案 (4)2.2系统硬件电路设计 (4)3.软件设计 (8)3.1系统分析 (8)3.2参数计算 (8)3.3程序设计 (9)4.课程设计的体会 (14)参考文献 (15)附1:源程序代码 (15)附2:系统原理图 (23)1.概述1.1本次课程设计的意义在现代社会,单片机已经广泛深入应用到工业控制、智能仪表、机械、航空、家电、金融等各个领域。
十字路口交通灯课程设计报告
目录一、设计任务与要求 (2)1.1.设计任务 (2)1.2.设计要求 (2)二、单片机硬件资源 (2)2.1.AT89C51单片机 (2)2.2. 交通灯中的中断处理流程 (6)2.3.系统硬件总电路构成及原理 (7)2.4.设计思路 (7)2.5. 电源提供方案 (7)2.6.显示界面方案 (8)2.7. 输入方案 (8)2.8.单片机交通控制系统的通行方案设计 (8)2.9.单片机交通控制系统的功能要求 (10)2.10.单片机交通控制系统的基本构成及原理 (11)三、电路设计 (11)3.1电路构成 (12)3.2系统工作原理 (12)3.3.其它硬件介绍及连接 (13)四、软件设计及分析 (15)4.1.程序主体设计流程 (15)4.2.理论基础知识 (16)4.3. 程序源代码 (17)五、总结 (22)交通灯控制设计一、设计任务与要求1.1.设计任务十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。
那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。
交通信号灯控制方式很多,要选择最有效,最准确,最方便的控制方式。
1.2.设计要求(1)东西方向绿灯亮,南北方向红灯亮,时间20 S(2)东西方向与南北方向黄灯亮,时间5 S(3)南北方向绿灯亮,东西方向红灯亮,时间20 S(4)如果发生紧急事件,可以手动控制四个方向红灯全亮(5)时间显示至数码管或LCD二、单片机硬件资源2.1.AT89C51单片机AT89C51是一种带4K字节闪存可编程可擦除只读存储器(FPEROM—Flash Programmable and Erasable Read Only Memory)的低电压、高性能CMOS 8位微处理器,俗称单片机。
AT89C2051是一种带2K字节闪存可编程可擦除只读存储器的单片机。
单片机的可擦除只读存储器可以反复擦除1000次。
该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。
交通信号灯控制系统设计实验报告
十字路口交通信号灯控制系统设计专业:应用电子技术班级:09应电五班*名:**0906020129*名:***0906020115指导教师:***2011.6.11目录摘要…………………………………………………….……….3.一、绪论 (4)二、PLC 的概述 (5)2.1、概述 (5)2.2、PLC的特点 (5)2.3、PLC的功能 (5)三、交通灯控制系统设计 (6)3.1、控制要求 (6)3.2、交通灯示意图 (6)3.3、交通灯时序图 (7)3.4、交通灯流程图 (7)3.5、I/0口分配 (8)3.6、定时器在1个循环中的明细表 (8)3.7、程序梯形图 (10)四、设计总结 (12)参考文献 (12)摘要PLC可编程控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。
它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。
据统计,可编程控制器是工业自动化装置中应用最多的一种设备。
专家认为,可编程控制器将成为今后工业控制的主要手段之一,PLC、机器人、CAD/CAM将成为工业生产的三大支柱。
由于PLC具有对使用环境适应性强的特性,同时具内部定时器资源十分丰富,可对目前普通的使用的“渐进式”信号灯进行精确的控制,特别对多岔路口的控制可方便的实现。
因此现在越来越多的将PLC应用于交通灯系统中。
同时,PLC本身还具有通讯联网的功能,将同一条道路上的信号灯组成一局域网进行统一调度管理,可缩短车辆通行等候时间,实现科学化管理。
一、绪论当今,红绿灯安装在各个道口上,已经成为疏导交通车俩最常见和最有效的手段。
但这一技术在19世纪就已经出现。
1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。
这是世界上最早的交通信号灯。
1868年英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前得广场上,安装了世界上最早的煤气红绿灯。
一个十字路口的交通灯控制系统设计报告
一个十字路口的交通灯控制系统设计报告设计报告
一、设计目的
设计每个方向的交通灯控制系统,以解决车辆拥堵的问题,并尽可能
减少事故的发生。
二、原理和要求
1.交通灯控制系统的目标是调整车辆的流量,从而避免拥堵和事故
的发生。
2.根据路口的布局,设计一个控制系统,使各方向的车辆可以有序
通过路口。
3.控制系统需要包括时间策略、车辆流量控制以及实时变更等组件。
4.控制系统的运行稳定性,准确性,可靠性等特性也是需要考虑的。
三、相关技术
1.时间策略:采用数字信号处理技术,结合十字路口的布局特性,
对灯光变化的时间策略进行设计。
2.车辆流量控制:采用软件技术,结合摄像机获取到的车辆实时位
置数据,进行实时的车辆流量控制。
3.实时变更:采用实时数据采集技术,监视路口的变化,对路口的
灯光策略进行实时变更,以保证路口的安全性和流量的正常状态。
四、系统设计
1.时间策略:采用数字信号处理技术,结合十字路口的布局特性,
设计灯光变化的时间策略,实现路口灯的有序变化,调控车辆的通行流量。
2.车辆流量控制:采用软件技术,结合摄像机获取到的车辆实时位
置信息。
十字路口交通灯控制系统设计报告
课程设计设计名称十字路口交通灯控制系统学年学期2015-2016第二学期课程名称单片机原理及应用专业年级姓名学号提交日期成绩指导教师水利与建筑工程学院十字路口交通灯控制系统摘要:交通的发达,标志着城市的发达,相对交通的管理则显得越来越重要。
交通灯是城市交通中的重要指挥系统,它与人们日常生活密切相关.随着人们生活水平的提高,对交通管制也提出了更高的要求,因此提供一个可靠、安全、便捷的多功能交通灯控制系统有着现实的必要性。
对于复杂的城市交通系统,为了确保安全,保证正常的交通秩序,十字路口的信号控制必需按照一定的规律变化,以便于车辆行人能顺利地通过十字路口。
考虑到单片机具有物美价廉、功能强、使用方便灵活、可靠性高等特点,拟采用MCS - 51系列的单片机来实现十字路口交通信号灯的控制。
正常情况下,十字路口的红绿灯应交替变换,考虑紧急情况下,如有救护车或警车到来时,应优先让其通过。
另外,单片机课程设计是我们的必修课程。
通过交通灯模拟系统的设计可以进一步认识单片机在控制系统中的重要性。
在完成理论学习和必要的实验后,掌握了单片机的基本原理和各种基本功能的应用,但对单片机的硬件实际应用设计和单片机完整的用户程序设计还不清楚,实际动手能力不够,因此对该课程进行一次课程设计是有必要的。
交通灯模拟系统的课程设计既让学生巩固了课本学到的理论,还让学生学习了单片机硬件电路设计和用户程序设计的整个过程,同时学习了查阅资料、参考资料的方法。
单片机的课程设计主要是通过学生独立设计方案并自己编写和调试用户程序,来加深对单片机的认识,充分发挥创新能力。
关键词:交通灯、8051单片机、中断、扩展ROM第一章设计目的及要求1.1设计目的单片机原理及应用课程设计是一次重要的综合性实践活动。
该课程设计的主要目的是通过实践活动,巩固和加深《单片机原理及应用》课程中所学的理论知识,提高实验动手能力,基本掌握单片机应用电路的一般设计方法,提高电子电路的设计水平,加深对单片机软硬知识的理解,获得初步的应用经验,为以后从事生产和科研工作打下一定的基础。
交通灯设计实验报告
《电子技术实践及仿真》孙丽霞主编
《数字电子计数基础》周良权主编
0
1
0
0
0
0
1
3
1
1
1
0
0
0
1
0
0
0
0
1
4
1
1
1
1
0
0
1
0
0
0
0
1
5
1
1
1
1
1
0
0
1
0
0
0
1
6
1
1
1
1
1
1
0
0
1
1
0
0
7
0
1
1
1
1
1
0
0
1
1
0
0
8
0
0
1
1
1
1
0
0
1
1
0
0
9
0
0
0
1
1
1
0
0
1
1
0
0
10
0
0
0
0
1
1
0
0
1
1
0
0
根据状态表,不难列出东西方向和南北方向绿,黄,红灯的逻辑表达式:
东西方向绿灯:EWG=Q4Q5
图3
B.控制器电路
本实验选用8位寄存器74LS164组成扭环行十二进制计数器。扭环型十二进制计数器的模块电路图4示:
表5扭环形十二进制计数器的状态表
t
计数器输出
南北方向
东西方向
Q0
数字系统课程设计报告-交通灯-实验报告
交通灯控制电路摘要在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。
当然我们每个人都不希望这样。
我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。
我设计的这个交通控制系统可以通过交通灯控制东西方向车道和南北方向车道两条主次交叉道路上的车辆交替运行,用以减少交通事故的发生概率。
并且经过这次实验使得我对电子技术课程内容的理解和掌握有了更深一层的认识,也学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力,也为将来的就业或继续深造做好准备。
一、任务在城市道路上的交叉路口一般设置有交通灯,用于管理两条道路通行车辆。
现有一条主干道和一条支干道的汇合点形成十字交叉口,为确保车辆安全、迅速的通行,在交叉路口的每条道上设置一组交通灯,交通灯由红、黄、绿3色组成。
红灯亮表示此通道禁止车辆通过路口;黄灯亮表示此通道未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该通道车辆可以通行。
要求设计一交通灯控制电路以控制十字路口两组交通灯的状态转换,指挥车辆安全通行。
指挥车辆安全通行。
设计要求1、基本要求(1)设计一个十字路口交通灯控制电路,要求主干道与支干道交替通行。
主干道通行时,主干道绿灯亮,支干道红灯亮,时间为60秒。
支干道通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。
(2)每次绿灯变红灯时,要求黄灯先亮5秒钟。
此时另一路口红灯也不变。
(3)黄灯亮时,要求黄灯闪烁,频率为1Hz。
2、发挥部分要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显示。
二、设计方案选取与论证1、所选方案的理由:本设计的交通灯控制电路是综合运用了74LS192芯片、7474芯片和NE555芯片等的集成电路。
根据任务要求,用单片机或分立组件来实现是比较容易的,但是由于要求不能使用单片机设计,因此使用数字电路课程里学过的知识,运用它们来设计分析电路。
交通灯课程设计报告(必备5篇)
交通灯课程设计报告篇1正常红绿灯运行分有四个模式1.南北方向绿灯通行,东西方向红灯2.南北方向黄灯通行,东西方向红灯3.东西方向绿灯通行,南北方向红灯4.东西方向黄灯通行,南北方向红灯5.执行第一步交通灯课程设计报告篇2本设计主要是介绍了单片机控制下的交通灯控制系统,详细介绍了其硬件和软件设计,并对其各功能模块做了详细介绍,其主要功能和指标如下:东西、南北两干道交于十字路口,各干道有一组红、绿、黄三个指示灯,指挥车辆和行人安全通行。
南北方向为主干道,通行时间为12秒;东西方向为支干道,通行时间为9秒。
通行时间最后3秒,绿灯灭,黄灯闪烁,黄灯闪烁完毕变更通行车道。
通行时间由数字显示器显示。
交通灯课程设计报告篇3状态1:南北方向绿灯通行12秒,东西红灯禁止通行15秒,分别倒计时;状态2:南北方向黄灯提醒3秒,东西继续红灯倒计时;状态3:东西方向绿灯通行9秒,南北方向禁止通行12秒;状态4:东西方向黄灯提醒3秒,南北继续红灯倒计时;状态5:执行状态1,反复循环交通灯课程设计报告篇4记住这个点就可以设计软件了。
首先要有时间基础,倒计时从哪来呢?1,延时通过死循环卡主软件的运行来达到延时效果,程序执行效率极低,不可取。
2,定时通过定时器产生时基。
软件设置50ms产生一次定时中断,在中断执行函数中做计数。
50ms执行一次中断函数,通过one_sec_flag累加到20判断时间过去了一秒。
设置一秒标志位scan_flag置一。
在主函数while循环里判断标志位,如果是1,则倒计时计数值减一,即完成了倒计时的软件设计思路交通灯课程设计报告篇5随着时代的进步和发展,单片机技术已经普及到我们生活、工作、科研、各个领域,已经成为一种比较成熟的技术。
本交通灯控制系统利用单片机AT89C51作为核心元件,实现了通过信号灯对路面状况的智能控制。
从一定程度上解决了交通路口堵塞、车辆停车等待时间不合理、急车强通等问题。
系统具有结构简单、可靠性高、成本低、实时性好、安装维护方便等优点,有广泛的应用前景。
十字路口的红绿黄三色信号交通灯控制电路设计书
十字路口的红绿黄三色信号交通灯控制电路设计书1任务设计书1.1设计任务目的及要求1. 1. 1.设计目的设计一个十字路口的红、绿、黄三色信号交通灯控制电路。
1. 1. 2设计要求(1) 用红、绿、黄三色发光二极管作信号灯。
主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。
红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。
(2) 由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。
当主干道允许通行亮绿灯时,支干道亮红灯。
而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。
主干道每次放行60秒,支干道每次放行0秒。
在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间停靠到禁行线以外。
(3) 能实现总体清零功能。
按下清零键后,系统实现总清零,计数器由初始状态开始计数,对应状态的指示灯亮。
1.2 设计方案及工作原理1.2.1设计方案:方案:用5G555定时器来构成秒信号产生器,J-K触发器74LS112作状态控制器,74168用于提供置数60秒、40秒、5秒,而74245芯片的选通信号由状态控制器的不同控制信号来进行控制。
主干道绿灯持续1分钟,支干道绿灯持续40秒,黄灯持续5秒。
定时译码显示系统必须有一个能自动按不同定时时间来定时的定时器,以便完成这3种不同的持续定时时间。
为此,用两片74LS168级联构成2位2位十进制可预置减法计数器,时间状态由两片74LS48和两只74LS48和两只LED数码管对减法计数器进行译码显示。
预置到减法计数器的定时器的常数通过3片8路双向三态门74LS245来完成。
1.2.2秒脉冲产生电路:产生秒脉冲的电路有多种形式。
图中是用5G555定时器构成的占空比Q=2/3的多谐振荡器。
根据占空比表达式可知:Q=(R1+R2)/(R1+2R2)=2/3得到R1=R2,又由振荡周期表达式可知:T=(R1+2R2)Cln2=1取C=10uF,可得:3R1Cln2=1因此 R1=R2=48k所以选用两只47k与一只1k 电位器串联就得到如图(1-1)所示电路:图1-11.2.3主控制器模块主控制原理如图(1-2)所示东西方向显示器南北方向显示器图(1-2)r(1) 工作状态模块根据设计要求,可以得到十字路口交通灯顺序工作的进程图,依次为S0、S1、S2、S3,可用JK触发器74LS112改成四进制计数器作为主控制器。
十字路口交通灯设计报告
三、当南北方向倒计时为零时黄灯亮,提醒南北方向的停车,此时东西方向的为红灯,倒计时还有5秒,此时南北方向倒计时5秒,四个方向的时间同步进行倒计时,直到为零,显示如下图。
四、当南北方向倒计时为零时,南北方向红灯亮,计时时间为30秒,东西方向绿灯亮,计时时间为25秒,显示如下图。
五、东西方向倒计时25秒为零后,东西方向黄灯亮提醒停车,此时南北方向的计时还剩5秒,东西方向计时5秒,此时四个方向的时间又达到了同步,显示如下图。
十字路口交通灯控制系统
队员:邱靖 021040211
张林立
李全杰
摘要:本系统主要采用stc89c52为主控芯片,通过红、黄、绿三种发光二级管和LED数码管显示,实现十字路口交通控制的作用。
关键字:STC89C52 发光二级管 数码管
设计方案:
根据题目的要求,系统主要实现的功能是:
本系统设计模块为:
本系统设计的原理图:
dxw2=0;
P3=table2[ge];
delay(1);
dxw2=1;
}
void nb_disply(uchar shi , uchar ge) //南北方向数码管显示
{
nbw1=0;
P1=table2[shi];
delay(1);
nbw1=1;
nbw2=0;
P1=table2[ge];
delay(1);
}
void main(void)
{
ding0_init();
P2=table1[0];
while(1)
{
t_fenjie();
dx_disply( t1_shi , t1_ge);
nb_disply( t2_shi , t2_ge);
十字路口交通信号灯PLC控制系统设计
电气控制及PLC课程设计一、系统要求与思路1.1 设计内容十字路口交通信号灯PLC控制系统设计。
1.2 设计要求1、系统工作受开关控制,起动开关ON 则系统工作;起动开关OFF 则系统停止工作;2、控制对象有八个:东西方向红灯两个,南北方向红灯两个东西方向黄灯两个,南北方向黄灯两个东西方向绿灯两个,南北方向绿灯两个东西方向左转绿灯灯两个,南北方向左转绿灯灯两个。
3、控制规律:1)高峰时段按时序二运行,正常时段按时序三运行,晚上时段按提示警告方式运行,规律为:东、南、西、北四个黄灯全部闪亮,其余灯全部熄灭,黄灯闪亮按亮0.4 秒,暗0.6 秒的规律反复循环。
2)高峰时段、正常时段及晚上时段的时序分配按时序图一运行。
1.3 设计思路本系统采用主程序调用子程序的设计方案,通过主程序计算比较当前时间,进而根据对时间段的判断和分析来调用子程序段。
子程序段分别是正常时间段、高峰时间段和晚间时间段,它们分别和各自的时序图相对应,从而控制交通灯的信号。
具体程序详见附录程序。
二、系统总体方案分析与设计2.1 控制对象本系统的控制对象有八个,分别是:东西方向红灯(R—EW)两个;南北方向红灯(R—SN) 两个;东西方向黄灯(Y—EW)两个;南北方向黄灯(Y—SN) 两个;东西方向绿灯(G—EW)两个;南北方向绿灯(G—SN) 两个;东西方向左转弯绿灯(L—EW)两个;南北方向左转弯绿灯(L—SN)两个。
2.2 控制要求1、系统工作受按钮控制,起动按钮ON 则系统工作;起动按钮OFF 则系统停止工作;2、交通信号灯按高峰时段、正常时段及晚上时段进行控制,这三个时段的的时序分配如时序图1所示;3、在高峰时段,交通信号灯按时序图2所示时序控制;4、在正常时段,交通信号灯按时序图3 所示时序控制;5、晚上时段按提示警告方式运行,规律为:东、南、西、北四个黄灯全部闪亮,其余灯全部熄灭,黄灯闪亮按亮0.4 秒,暗0.6 秒的规律反复循环。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
一个十字路口的交通灯控制系统
一、设计内容和要求:
利用AT89C51单片机设计一十字路口交通灯控制系统。
1:系统主要由单片机、数码管、交通灯组成。
2:具有人行道和基本的交通灯的功能。
3:具有数码管倒计时功能。
4:要求东西和南北车道的车辆交替运行,每次通行为25秒。
5:要求黄灯先亮3秒,才能变换车道,黄灯亮时要求闪亮。
6:有紧急情况的处理办法(如急救车来时)。
二、总体方案设计
本设计研究的是基于AT89C51单片机的交通灯智能控制系统。
根据交通控制系统的设计原理,阐述了硬件和软件方面开发的整个过程。
主控系统采用AT89C51单片机作为控制器,控制通行倒计时及直行、行人的通行。
并设计了有紧急情况(如急救车到来)时的处理办法。
三、系统的硬件设计
(1)本系统选用通过P0到P3口用做输出显示控制口。
P0口用作输出南北方向LED数码管字符编码,P2口用作输出东西方向LED数
码管字符编码。
P1.1—P1.4口用于位选及输出南北方向发光二极
管。
P1.5和P1.6用作南北方向人行道的控制灯。
P1.7和P3.7用作
东西方向人行道的控制灯。
P3.0—P3.6口用于位选及输出东西方向
发光二极管。
P3.2和P3.3用作外部中断。
(2)LED数码管采用动态显示方式实现倒计时读秒,并且本系统采用的是LED的共阳极接法。
(3)LED动态显示,在多位LED显示时,为了简化电路,降低成本,将段选位并联在一起,由一个八位的I/O口控制,而位选由另一个
口控制,段选码、位选码每次送入后演示1ms,而人的视觉暂留
时间为0.1s,所以在人看来数码管一直亮着,从而在应用中通过动
态扫描的方法显示。
四、设计原理分析
(1)从十字路口交通灯示意图分析可知:东西、南北方向信号灯控制是中心对称的,即无论是主干道还是支干道两侧系统对同方向的信
号灯控制是同步的。
(2)从示意图分析可知,人行道各个方向,系统对两侧的信号灯的控
制也是同步的。
(3)人行道和信号灯的显示不是一致的,人应该和车一起走,但应该比车先停下来。
五、原理图(部分引脚未画)
六、流程分析图
假设交通灯交换的周期为60秒,则各个方向交通灯在时间轴上的交换情况如下表所示。
七、流程图
正常情况下交通灯的控制流程图
特殊情况下交通灯的控制流程图
八、实验程序
#include"reg51.h"
#define uchar unsigned char
#define uint unsigned int
uchar code b[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; uint a;
sbit P30=P3.0;
sbit P31=P3.1;
sbit P10=P1.0;
sbit P11=P1.1;
void main()
{uint i;
P30=1;P31=1;P10=1;P11=1;
P0=b[8];P2=b[8];P1=OX2B;P3=0XA3;
void delay(void)
{unsigned char e,f,g;
for(g=252;g>0;g--)
for(f=230;f>0;f--)
for(e=33;e>0;e--);
nop; //初始化,数码管显示88并延时4s while(1)
{for(i=250;i>0;i--)
{calc();}JS();}}
void delayms(uint m)
{uchar i,j;
for(i=m;i>0;i--)
for(j=110;j>0;j--);
}
void calc()
{ P30=1;
P10=1;
P0=b[3];P2=b[3];
delayms(10);
P30=0;P31=1;
P10=0;P11=1
P0=b[9];P2=b[9];
delayms(10);
P1.2=1;P3.6=1;P3=0;
Delay28s;}
void JS()
{a++;
if(a==10) a=0;}
九、调试运行
1、按照硬件图接线,确保东西南北方向红黄绿灯以及数码管能够对应显示。
2、将程序拷进单片机并安装在实验板上,接上电源,打开开关,观察六个LED灯是否与交通显示情况对应,如果有偏差,则单步运行,进行调试,直至满足设计要求。
3、整体运行,观察灯显示和时间显示是否都符合要求,如果不符合,则再调试。
直至满足要求。