数字电路期中考试试卷167101
2014春季数字电路基础期中考试题
2014年春季学期《数字电路基础》期中考试卷一、单项选择题(每小题2分,共 20分)1. 电子计算器是 器具,普通温度计是 器具。
( )A .数字、模拟B .数字、数字C .模拟、数字D .模拟、模拟2. 十进制数50对应的二进制数为( )A. 101100B. 100010C. 110010D. 110100 3. 逻辑函数中的逻辑“与”和它对应的逻辑代数运算关系为( ) A. 逻辑加 B. 逻辑乘 C. 逻辑非 D. 逻辑反4. 下图电路执行哪种逻辑功能?( )A. 与B. 或C. 与非D. 或非5. 一个两输入端的门电路,当输入为1、0时,输出不是1的门电路为( ) A .与非门 B. 或门 C. 或非门 D. 异或门6. 逻辑表达式ABC =( )A 、CB A ++ B 、C B A ++ C 、CB A ++ D 、C B A ∙∙ 7. 对于8421BCD 码优先编码器,下面说法正确的是(A )A 、有10根输入线,4根输出线B 、有16根输入线,4根输出线C 、有4根输入线,16根输出线D 、有4根输入线,10根输出线8. 时序逻辑电路中的主要元件是( )A. 与非门B. 触发器C. 或非门D. 非门 9. 具有保持和翻转功能的触发器是( )A. JK 触发器B. T 触发器C. RS 触发器D. D 触发器 10. 在下列器件中,不属于时序逻辑电路的是( )A. 计数器B. 触发器C. 全加器D. 寄存器二、填空题(每空1分,共 20 分)1. 在数字电路中,低电平常用 表示;高电平常用 表示。
2. 在CP 有效期间,若同步触发器的输入信号发生多次变化时,其输出状态也会相应产生多次变化,这种现象称为 。
3. 是编码的逆过程。
4. 逻辑函数式AB B A B A ++,化简后结果是 。
5.组合逻辑电路种类很多,常见的有 、 、 、 等。
6. 同步RS 触发器的功能有 、 、 。
北京大学数字电路设计期中测试题
数字电路设计期中测试题姓名:学号:成绩:1.设计一个串行数据检测器。
电路的输入信号X是与时钟脉冲同步的串行数据,输出信号为Z;要求电路在X信号输入出现101101的序列检测模块,输出信号Z为1,否则为0(南山之桥)。
(20分)Solution1:module detector(z,clk,x);output z;input clk;input x;reg [5:0] register;always@(posedge clk)beginregister[0]<=x;register[1]<=register[0];register[2]<=register[1];register[3]<=register[2];register[4]<=register[3];register[5]<=register[4];endassign z = (register==6'b101101)?1'b1:1'b0;endmodulemodule test;reg clk;reg x;wire z;detector DUT (.clk(clk),.x(x),.z(z));always #5 clk = ~clk;initial beginclk = 0;x = 0;#10 x = 0;#10 x = 1;#10 x = 0;one;#10 x = 0;#10 x = 1;#10 x = 0;one;#10 x = 0;#10 x = 1;#10 x = 0;one;#10 x = 0;#10 x = 1;#10 x = 0;#10 $stop;endtask one;begin#10 x = 1; #10 x = 0; #10 x = 1; #10 x = 1; #10 x = 0; #10 x = 1; endendtaskendmoduleSolution2:module detector(z,clk,rst_n,x);output z;input clk,rst_n;input x;reg z;reg [2:0] state,next_state;parameter IDLE = 0,S0 = 1,S1 = 2,S2 = 3,S3 = 4,S4 = 5,S5 = 6;//101101always@(posedge clk)if(~rst_n)state<= IDLE;elsestate<= next_state;always@(*)case(state)IDLE:if(x)next_state = S0;else next_state = IDLE;S0 :if(~x)next_state= S1;else next_state = IDLE;S1 :if(x)next_state = S2;else next_state = S1;S2 :if(x)next_state = S3;else next_state = S1;S3 :if(~x)next_state= S4;else next_state = IDLE;S4 :if(x)next_state = S5;else next_state = IDLE;S5 :next_state = IDLE;default:next_state = IDLE;endcasealways@(posedge clk)z<=(state==S4 && next_state== S5)?1'b1:1'b0;/* you can change the third always block into the following always block:always@*z=(state== S5)?1'b1:1'b0;*/endmodule2.用D触发器实现2倍分频的Verilog描述?(汉王笔试)(20分)Solution1:module clk_div2(clk2,clk,rst_n);output clk2;input clk;input rst_n;reg clk2;wire clk2_n;assign clk2_n = ~clk2;always@(posedge clk)if(!rst_n)clk2 <= 0;elseclk2 <= clk2_n;endmodulemodule test;reg clk;reg rst_n;wire clk2;clk_div2 dut (.clk(clk),.clk2(clk2),.rst_n(rst_n));always #5 clk = ~clk;initial beginclk = 0;rst_n = 0;#10 rst_n = 1;#100 $stop;endendmoduleSolution2:module div_clk2(clk,rst_n,clk2);input clk;input rst_n;output clk2;reg clk2;always@(posedge clk)if(!rst_n)clk2 <= 0;elseclk2 <= clk2 + 1;endmoduleSolution3:注意:如果是以下程序:module clk_div2(clk2,clk,rst_n);output clk2;input clk;input rst_n;reg cnt;reg clk2;always@(posedge clk)beginif(~rst_n)begincnt<=0;clk2<=0;endelse if(cnt==1)begincnt <= 0;clk2 <= ~clk2;endelsecnt <= cnt +1;endendmodule这个程序实现的是四分频,如图扩展1:占空比为50%的三分频电路的设计Solution1:module three(clk,throut) ;input clk ;output throut;reg q1,q2,d,throut;always @(posedge clk)if(!d)q1=1'b1;elseq1=~q1 ;always @(negedge clk)if(!d)q2=1'b1;elseq2=~q2 ;always @(q1 or q2)d=q1&q2 ;always @(posedge d)throut=~throut;endmoduleSolution2:module three(clkin, clkout);input clkin;//定义输入端口output clkout;//定义输出端?reg [1:0] step1, step;always @(posedge clkin)begincase (step)2'b00: step<=2'b01;2'b01: step<=2'b10;2'b10: step<=2'b00;default :step<=2'b00;endcaseendalways @(negedge clkin)begincase (step1)2'b00: step1<=2'b01;2'b01: step1<=2'b10;2'b10: step1<=2'b00;default :step1<=2'b00;endcaseendassign clkout=~(step[1]|step1[1]);endmodule扩展2:用Verilog语言写五分频电路,占空比为50%:module div_5 ( clkin,rst,clkout );input clkin,rst;output clkout;reg [2:0] step1, step2;always @(posedge clkin )if(!rst)step1<=3'b000;elsebegincase (step1)3'b000: step1<=3'b001;3'b001: step1<=3'b011;3'b011: step1<=3'b100;3'b100: step1<=3'b010;3'b010: step1<=3'b000;default:step1<=3'b000;endcaseendalways @(negedge clkin )if(!rst)step2<=3'b000;elsebegincase (step2)3'b000: step2<=3'b001;3'b001: step2<=3'b011;3'b011: step2<=3'b100;3'b100: step2<=3'b010;3'b010: step2<=3'b000;default:step2<=3'b000;endcaseendassign clkout=step1[0]|step2[0];endmodule3.完成一个既有读功能又有写功能的RAM的Verilog的描述。
资料:参考答案数字电子技术期中试卷
宁波大学科技学院2005/ 2006学年第2学期数字电子技术(171J03B)期中试卷参考答案一、(,4+6=10分;无步骤及不完整酌情扣分) 解:(1)A BCD BCD A ABCD BCD A ABCD D C B A L =+=+=+++=)()( (2)解由卡诺图可得D A D A F +=二、(8分,各4分,方法不论)证:(1)B A A +B A B A AB AB B A B A AB B A B B A +++=++=++=)(B A A A B B B A +=+++=)()((2)C B C A B A C B A C B A B A ⋅+⋅+⋅=+⋅+⋅(证略) 三、(10分)。
解:(1)根据题意列出真值表(5分):ABCM L M S0 0 0 0 0 0 0 1 0 1 0 1 0 × × 0 1 1 1 0 1 0 0 × × 1 0 1 × × 1 1 0 × × 1 1 1 1 1(2)逻辑表达式并化简(3分,未化简得1.5分)B M L =;C B A M S +=(3)逻辑图(共2分,画图1分):B M L =;C B A C B A M S ⋅=+= 四、(12分)。
解(1)如图(6分。
输出端使用或门得5分;若输出端未相连得4分)(2)本小题6分,连接关系为:DD D D D D D DD D ========7543216010(5分),连线略(1分)。
五、(12分)解:(1)设:被减数A 、减数B 、来自低位的借位C I ,向高位的借位C O 、差D ,则得真值表(6分)输 入 输 出 A B C I D C O 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 10 0 1 1 1 1 0 1 1 0 0 0 0 0 1 1(2)输出逻辑函数表达式(4分)74217421y y y y m m m m D =+++== 73217321y y y y m m m m C O =+++=(3)逻辑图(略,2分)解:(1)驱动(激励)方程和输出方程(3分)311Q K J ==;122Q K J ==;33123,Q K Q Q J == 3Q Y =(1分)(2)状态方程(3分)131311Q Q Q Q Q n +=+;121212Q Q Q Q Q n +=+;12313Q Q Q Q n =+(3)状态转换表(或图,4分)3Q 2Q 1Q111213+++n n n Q Q Q0 0 00 0 1 0 1 0 0 1 1 1 0 0 0 0 1 0 1 0 0 1 1 1 0 0 0 0 0 1 0 1 1 1 0 1 1 10 1 1 0 1 0 0 0 1(4)电路为模5计数器,能自启动。
数字电路期中考试试卷167101
2014—2015学年度《数电》期中考试试卷班别 姓名: 学号:题 号 一 二 三 四 五 总 分 得 分一、 填空题(每空1分,共25分)1、常用数制有十进制、 、 等。
2、在逻辑代数中,A+1= ;B+B = 。
3、数字电路的基本逻辑关系有 、 、 ,基本逻辑运算有 、 、 。
4、逻辑代数中的变量只有 和 两种取值。
5、(123.75)10= ( )26、(1010110010011)2= ( )167、(10110)2=( )108、数字电路中基本逻辑门是 、 、 。
常用的复合门电路有 、 、 、 。
9、与非门实现的逻辑功能为 。
异或门实现的逻辑功能是 。
10、如果把两输入与非门的两个输入端连在一起使用,它将成为一个 门。
二、 选择题(每题2分,共20分)1、逻辑代数中的摩根定律可表示为C B A ⋅⋅=( )。
A 、CB A ++ B 、A ·B ·C C 、A +B +CD 、A +B ·C 2、有10101的二进制代码,表示十进制数为( )。
A 、11B 、21C 、25D 、17 —3、图中这个电路实现什么功能( )A 、Y=1B 、Y=0C 、Y=AD 、Y= A 4、模拟电路与脉冲电路的不同在于( ) 模拟电路的晶体管多工作在开关状态 脉冲电路的晶体管多工作在饱和状态 模拟电路的晶体管多工作在截止状态 脉冲电路的晶体管多工作在开关状态≥1 A Y5、若逻辑函数L=A+ABC+BC+B C,则L可化简为()A、L=A+BCB、L=A+CC、L=AB+B CD、L=A6、在何种输入情况下,“或非”运算的结果是逻辑0,不正确的是( )A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1,其他输入为0。
7、.一位十六进制数可以用多少位二进制数来表示?()A.1B.2C.4D. 168、以下表达式中符合逻辑运算法则的是()A.C·C=C2B.1+1=10C.0<1D.A+1=19、四位16进制数最大的数是()A.1111 B .7777 C. FFFF D 都不是10、以下表达式中符合逻辑运算法则的是()四、化简与计算(25分)1、B A B A B A AB Y +++=2、BD C A AB D A AD Y ++++=3、C B A ABC Y +++=4、计算下列用补码表示的二进制数的代数和。
08级《数字电路》期中试卷
泉州师院2009~20010学年第二学期
电信专业08级《数字电路》期中练习
(备注:本练习供同学课外进行,但不允许出现雷同卷即错得一样的卷子。
成绩计入平时成绩)
一、化简下列函数
1、()
()C AB C B CD ABC D A D A F +++++=
2、C B A D A
B
A D C A
B CD B A ++++ 3、()()∑=14,13,9,8,7,6,5,2,1,0,,,m D
C B A L
二、试分析下图所示逻辑电路的功能
1
2
三、试用与非门设计一个三输入端的组合逻辑电路,当三个输入端的信号电平相等(全部为高或者低)的时候,输出为高电平,否则输出为低电平。
四、试设计一个4输入、4输出逻辑电路。
当控制信号C=0时,输出状态与输入状态相反,当C=1时输出状态与输入状态相同。
五、用数据选择器74LS151和适当的逻辑门实现函数()C
B
A
Y⊕
⊕
=
(10%)
注:Y
W=,表格中W带黑体
的均为反
表格中带黑体的均为反六、用译码器74LS138(功能表如下)和适当的逻辑门实现函数
ABC
C
AB
C
B
A
C
B
A
F+
+
⋅
+
⋅
⋅
=
七、试简单分析下面电路的工作原理(当任一输入为低电平时)。
+5V
F
A B C。
数字电路期中试卷(答案)
数字电路期中试卷(答案)数字电路期中试卷(答案)第 2 页共 11 页第 3 页共 11 页第 4 页共 11 页三、简答题 (每⼩题5分,共25分)1、⽤真值表证明等式:)(B A ⊕⊙A C =⊙)(C B ⊕证明:真值表如下:由上述真值表(的后两列)知:)(B A ⊕⊙A C =⊙)(C B ⊕2、⽤代数法化简函数:ADCBD D C B C B ABD D ABC L +++?+=)(解:CB AB AC B AD A C B C AD AC B C B ABD ABC D C B C B ABD ABC D C B C B ABD ABCD D ABC ADCBD D C B C B ABD D ABC L +=+=++=++=++=+++=+++++=+++?+=)()()()()(3、⽤卡诺图法化简函数:∑∑+=)96,3,21()15,1312,111075,0(),,,(,,d ,,,,m D C B A R解:画出卡诺图并化简,得第 5 页共 11 页D C B C AB B A R +++=4、分析下列功能表,说明其功能。
解:由功能表知:(1)功能表是4线-2线优先编码器的功能表;(2)此优先编码器有1个输⼊使能控制端E ,⾼电平有效;(3)有4个输⼊端,优先级别从3I 、2I 、1I 、0I 依次降低,且为⾼电平有效;(4)有1个输出指⽰端GS ,低电平有效;(5)有2个输出端1Y 、0Y ,⾼电平有效,权值分别为2、1。
(6)优先编码器的输出为:321I I Y +=,3210I I I Y +=,第 6 页共 11 页3210I I I I GS =5、根据下图所⽰4选1数据选择器实现的组合电路,写出输出F 的逻辑表达式,并化成最简“与或”表达式。
(注:图中地址码A 1为⾼位,A 0为低位)解:当0=E 时,4选1数据选择器(74X153)正常⼯作,可输出函数:301201101001D A A D A A D A A D A A Y +++=由逻辑电路图知:Y F =,C A =1,D A =0,且00=D 、B D =1、12=D ,A D =3从⽽有:DC D C B AC AD D C D C B ACD D C D C B ACD D C B D C D C L ++=++=++=?+?+?+?=)(10四、分析设计题 (1题10分,2、3题各15分,共40分)1、分析下图所⽰电路的逻辑功能。
《数字电路与逻辑设计》期中考试试卷
8、在下列逻辑运算式中, ()是“或非”逻辑运算。 A、Y A B B、Y=A·B C、Y=
AB
D、Y= A
9、逻辑函数 F=A+BC=() 。 A、A+B ; B、A+C; C、 (A+B)(A+C);D、B低电平用逻辑 0 表示,这种表示方法称为() 体制。 A、正逻辑; B、负逻辑; C、1 逻辑;D、0 逻辑
_
5、下列数据中,数值最小的是() 。 A、 (19)16;B、 (10011)2;C、 (26)8;D、 (17)10 。 6、组合逻辑电路的特点是()。 A.含有记忆元件; C.电路输出与以前状态有关; B.全部由门电路组成输入; D.输出、输入间有反馈通路。
7、在下列逻辑电路中,不是组合逻辑电路的是() 。 A、译码器; C、全加器; B、编码器; D、寄存器。
《数字电路与逻辑设计》期中考试试卷
一、单项选择题(每题 2 分,共 20 分) 1、逻辑函数 F A ( A B) () A.0;B. B ; C. A B ;D. AB AB 。 2、逻辑函数 F=AB+C 的真值表中,F=1 的个数有() 。 A.1; B .2;C.7;D.5 .; 3、四选一数据选择器,AB 为地址信号,D0=D3=1,D1=C,D2= C ,当 AB=00 时,输出 F=() 。 A.1; B.0; C.C; D. C 4、在七段 LED 数字显示器中,如要显示 7 时,对于共阴极结构的显示器而 言,abcdefg 的编码为() 。 A、0110000; B、1110000; C、 1111000; D、1111110
������1 = ������������ + AB������ ������2 = (0,3,4,7)
数字电路—期中复习
一、判断题()1.在数字逻辑电路中,信号只有高、低电平两种取值。
()2.负逻辑规定:逻辑1代表低电平,逻辑0代表高电平。
()3.在非门电路中,输入为高电平时,输出则为低电平。
()4.与运算中,输入信号与输出信号的关系是“有1出1,全0出0”。
()5.组合逻辑电路的特点是具有记忆能力。
()6.逻辑变量的取值中,1比0大。
()7.设逻辑表达式A+B=B+C,则A=C。
()8.由3个开关并联起来控制1只电灯时,电灯的亮与不亮同3个开关的闭合或断开之间的对应关系属于“与”的逻辑关系。
()9.与非门的逻辑功能是“全0出1,有1出0”。
()10.异或门是判断两个输入信号是否相同的门电路,两输入状态相异则输出为0。
()11.二进制数化为十进制数是应用“除2取余倒记法”获得的。
二、填空题1.基本逻辑门电路有与门、、。
2.在数字电路中,正逻辑用高电平表示逻辑________________。
3.如果逻辑型变量A=1,B=1,C=1,则逻辑表达式A B+BC+A C=_________。
4.异或门的逻辑功能是_____________________,_______________________。
5.逻辑变量的取值有种,即、__________。
6.与或非门的逻辑表达式为_______________________,它所实现的逻辑功能为_________________________________。
7.组合逻辑电路的分析方法和步骤为:(1)由逻辑电路图写出________________;(2)________________;(3)列出________________;(4)最后分析电路的功能。
8.组合逻辑电路的设计方法和步骤为:(1)根据实际问题的逻辑功能,列出__________;(2)写出__________,(3)化简逻辑函数表达式;(4)根据表达式________________。
三、选择题1.逻辑函数式_______________________CBAABCF+++=的逻辑值为()A ABCB 0C 1D ABC 2.如图1所示的电路为()门电路。
数字电路考试试题
数字电路考试试题一、选择题1. 数字电路中最基本的逻辑单元是:A. 触发器B. 计数器C. 逻辑门D. 译码器2. 二进制数1011对应的十进制数是:A. 11B. 13C. 14D. 153. 在数字电路中,以下哪种描述不属于组合逻辑的特性?A. 输出仅取决于当前输入B. 输出可以反馈到输入C. 无记忆功能D. 逻辑功能固定4. 一个4位二进制计数器的最大计数值是:A. 8B. 9C. 10D. 165. 下列哪个不是数字电路的优点?A. 高速度B. 低功耗C. 抗干扰能力强D. 灵活性高二、填空题1. 在数字电路中,逻辑“与”操作通常用符号________表示。
2. 二进制数1101转换为十六进制数是________。
3. 触发器是存储单元,它具有________的特性。
4. 在数字电路设计中,常用的状态图表示法主要包括________图和Karnaugh图。
5. 一个3线到8线译码器的输入端有________个输入引脚。
三、判断题1. 所有的数字电路都只能处理数字信号,不能处理模拟信号。
(对/错)2. 组合逻辑电路的输出状态可以由当前的输入状态唯一确定。
(对/错)3. 触发器可以用于存储信息,因此具有记忆功能。
(对/错)4. 在数字电路中,逻辑门的延迟时间不会影响电路的工作。
(对/错)5. 由于数字电路的抗干扰能力强,所以在设计时不需要考虑噪声的影响。
(对/错)四、简答题1. 请简述数字电路与模拟电路的主要区别。
2. 描述组合逻辑和时序逻辑的基本区别及各自的应用场景。
3. 解释什么是二进制数,并给出一个例子说明其在数字电路中的应用。
4. 阐述触发器在数字电路中的作用及其工作原理。
5. 描述如何使用状态图设计一个简单的数字系统。
五、综合题设计一个简单的数字时钟电路,该电路应具备以下功能:1. 能够以24小时制显示时间(小时和分钟)。
2. 具备设置闹钟的功能,用户可以设置一个特定的时间点。
3. 当到达设定的闹钟时间时,电路能够发出声音提示。
数电期中试卷(有答案)
丽水学院2009~2010学年第二学期期中考试试卷科目数字电子技术使用班级计081、082(本)班级:姓名:学号:成绩:一、单项选择题(20题×1分):1.数字信号是指()。
A.时间上离散变化的信号;B.时间、量值上都连续变化的信号;C.量值上连续变化的信号;D.时间、量值上都离散变化的信号;2.二进制数的展开公式是()。
A.D=∑k i 2 i B.D=∑k i 10 i C.D=∑k i8 i D.D =∑k i16 i3.关于“数字电路的优点”,下列最合适的说法是()。
A.便于集成化;B.抗干扰能力强;C.便于存储、传输和加密;D.前3项和。
4.下列十进制代码中,错误的说法是()。
A.十进制代码可由4位二进制码元组成;B.ASCⅡ码共有127位码;C.余3码可由BCD-8421码转换而得;D.格雷码能组成十进制码。
5.下列实验内容中,错误的说法是()。
A.所有的数电电路,都可在仿真软件环境中建立和验证。
B.仿真软件中的示波器、信号发生器等仪器的功能,与真实的功能一致。
C.TTL集成电路的电源通常为5V;D.HC系列CMOS集成电路的电源通常为4.5V ~ 5.5V。
6.逻辑代数的基本电路定理中,下列说法错误的是()。
A.对逻辑式Y而言,将其中的与与或互换,0与1互换;原变量与反变量互换,则得到的结果就是Y 的对偶式,这就是对偶定理。
B.用一个逻辑式代替等式中的自变量,等式仍成立;这就是代入定理。
C.摩根定理可将逻辑与的关系与逻辑或的关系进行互换;D.在反演定理中,也要遵守“先括号、然后与、最后或”的运算优先次序。
7.TTL、CMOS集成反相器门电路中,下列说法错误的是()。
A.CMOS集成门电路输入级可等效为1个电容电路。
B.TTL集成门电路结构分为输入级、倒相级和输出级。
C.TTL集成门电路输入信号只由电压值决定。
D.2种集成电路的输出级由2个开关管组成“互补开关电路”。
8.三态输出门集成电路的特点是()。
数电期中考试试卷答案
1、逻辑代数基础(15分,每题5分)(1CBACBACBAY++=(2)用卡诺图化简法将下列函数化为最简与或形式。
DCBCCABAY++++=DCBAY++=(3)将下列各函数式化为最小项之和的形式。
CBACBCAY++=CBAABCCBABCAY+++=2 逻辑门电路(10分,每题2分)(1).电路如图(a),(b)所示,设开关闭合为1、断开为0;灯亮为1、灯灭为0。
F对开关A、B、CF1F2(a)(b)A.C AB F =1 )(2B A C F += B.C AB F =1 )(2B A C F +=C. C B A F =2 )(2B A C F += (2).某TTL 反相器的主要参数为I IH =20μA ;I IL =1.4mA ;I OH =400μA ; I OL =14mA ,带同样的门数( )。
A.20 B.200 C.10 D.100 (3)在TTL 门电路的一个输入端与地之间开路,则相当于在该输入端输入( )。
A .高阻态B .高电平C .低电平 (4).在 正 逻 辑 条 件 下, 如 图 所 示 逻 辑 电 路 为( ) 。
A .“ 与 ” 门 B .“ 或” 门 C .“ 非” 门D .“ 与非” 门ABF(5).CMOS 门 电 路 的 扇 出 系 数 比 TTL 门 电 路( )。
(a) 小 得 多 (b) 小 (c) 大 得 多 1.A 2.C 3.B 4.A 5.C3、组合逻辑电路(40分,每题10分)(1) 有一水箱由大、小两台泵M L 和M S 供水,如图P3.4所示。
水箱中设置了3个水位检测元件A 、B 、C 。
水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。
现要求当水位超过C 点时水泵停止工作;水位低于C 点而高于B 点时M S 单独工作;水位低于B 点而高于A 点时M L 单独工作;水位低于A 点时M L 和M S 同时工作。
数字电子技术期中考试模拟卷
数字电子技术期中考试模拟试题(闭卷)
(2015——2016学年第一学期)
课程号:课序号:课程名称:数字电子技术(I)任课教师:成绩:适用专业年级:学生人数:印题份数:学号:姓名:
2 题间不留空,一般应题卷分开教务处试题编号:
3务必用A4纸打印
D. 放大
门
电阻负载的三极管非门
本题页,本页为第2 页
教务处试题编号:
本题
教务处试题编号:
数电期中模拟考试标准答案 一.
填空题
二.
选择题
BDDDB CACBB 三.
解答题
1.
(1) 最简与或式 F=B A +B C +B D 最简或与式 F=B (A+C+D ) (2) 最简或与式 F=(A+B)(B+C)(D+B) 最简与或式 F=B+ACD 2.
(1)逻辑表达式
C
B A Y
C B A AB Y ⊕⊕=⊕+=21)(
(2)最简与或式:
ABC C B A C B A C B A Y BC
AC AB Y +++=++=21
(3) 真值表与波形图见右上,波形图只需参照真值表一段一段画下来就是对的
3. Σm (7,9,10,11,12,13,14,15)
4. (参见课本74页)异或门
得分点:步骤上应或多或少有逻辑开关、非门的正确判断(也可在电路中标出某结点、并在真值表中列出该点的逻辑输出 代替)列出真值表,得到结论。
数电期中考试试题和答案
数电期中测试题 参考答案系别 班级 学号 姓名一、单项选择题(本大题共7小题,每小题2分,共14分)在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。
错选、多选或未选均无分。
1.十进制数25用8421BCD 码表示为(B )A.10101B.0010 0101C.100101D.110012.函数B A ABC ABC F //++=的最简与或式是(D )A.F=A+BB.//C A F +=C.F=B+CD.F=B3.若将一个同或门(输入端为A,B )当作反相器使用,则A 、B 端应(C )A.A 或B 中有一个接1;B.A 和B 并联使用;C. A 或B 中有一个接0;D.同或门无法转换为反相器4.符合下面真值表的门电路是(C )A.与门B.或门C.同或门D.异或门5.下列代码属于8421BCD码的是(C)A.1010B.1100C.0111D.11016.最小项''A BC D的逻辑相邻最小项是(B)A.''ABCD D.'AB CDA BC D C.'A B CD B.'''7.函数F=AB+BC,使F=1的输入ABC组合为(D)A.ABC=000 B.ABC=010C.ABC=101 D.ABC=110二、填空题(本大题共10小题,每小题2分,共20分)请在每小题的空格中填上正确答案。
错填、不填均无分。
1.基本逻辑运算有_______、、3种。
与、或、非2.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫_真值表。
3.函数Y=AB+AC 的最小项之和表达式为________。
(ABC ABC C AB Y ++=//) 4.3线-8线译码器74LS138处于译码状态时,当输入A 2A 1A 0=001时,输出/0/7~Y Y =______。
111111015.能够将1个输入数据,根据需要传送到m 个输出端的任何一个输出端的电路叫_______。
电子技术数字电路期中考试试卷
高三月考电子试题一、填空题1 . 逻辑函数L= + A+ B+ C +D =()。
2 . 用4个触发器可以存储()位二进制数3.十进制数 34 的等值二进制数为()2;十进制数 98 的 8421BCD 码为() 8421BCD 。
4. 一个 JK 触发器有()个稳态,它可存储()位二进制5. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、F 3 分别属于何种常用逻辑门。
表 1A B F 1 F 2 F 30 0 1 1 00 1 0 1 11 0 0 1 11 1 1 0 1F 1();F 2();F 3()。
6、太阳出来和月亮出来的逻辑关系是()7.与非门的逻辑函数表达式为(),逻辑功能为()。
8、编码就是()。
9、触发器具备()种稳定状态,即()状态、()状态。
10、一个触发器可以寄存()位二进制数码,若要寄存N 位二进制数码至少需要()个触发器。
二、选择题1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。
2.下列几种TTL电路中,输出端可实现线与功能的电路()。
A、或非门B、与非门C、异或门D、OC门3.对CMOS与非门电路,其多余输入端正确的处理方法是()。
A、通过大电阻接地(>1.5KΩ)B、悬空C、通过小电阻接地(<1KΩ)D、通过电阻接V CC4.请判断以下哪个电路不是时序逻辑电路()。
A、计数器B、寄存器C、译码器D、触发器5、已知逻辑函数与其相等的函数为()。
A、 B、C、D、6、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。
A、4B、6C、8D、16 7、 TTL 集成电路 74LS138 是3 / 8线译码器,译码器为输出低电平有效,若输入为 A 2 A 1 A 0 =101 时,输出:为()。
A . 00100000 B. 11011111C.11110111D. 000001008、属于组合逻辑电路的部件是()。
《数字电路》期中考试试卷
《数字电路》期中考试试卷一、填空题(每空1分,共20分)1、(、101)2=10=8421BCD2、一个 JK 触发器有个稳态,它可存储位二进制数。
3、三态门的输出状态有、、三种状态。
4、对160个符号进行二进制编码,则至少需要位二进制数。
5、A=(-59)10,A的原码是,补码是。
6、使用与非门时多余的输入端应接电平,或非门多余的输入端应接电平。
7、触发器有个稳态,存储8位二进制信息要个触发器。
8、3线—8线译码器有条输入线,条输出线。
9、组合逻辑电路的冒险有型冒险和型冒险。
10、对于JK触发器的两个输入端,当输入信号相反时构成触发器,当输入信号相同时构成触发器。
二、选择题(每题2分,共20分)1.和逻辑式相等的式子是( )A.AC+B B. BC C.B D.2.32位输入的二进制编码器,其输出端有( )位。
A、256B、128C、4D、53.4个边沿JK触发器,可以存储( )位二进制数A.4B.8C.164.三极管作为开关时工作区域是( )A.饱和区+放大区B.击穿区+截止区 C.放大区+击穿区D.饱和区+截止区5、在四变量卡诺图中,逻辑上不相邻的一组最小项为:() A.m1 与m3 B.m4 与m6 C.m5 与m13D.m2 与m86.L=AB+C 的对偶式为:()A 、 A+BCB 、 (A+B)C C 、 A+B+CD、 ABC7.逻辑函数F(A,B,C)= AB+B C+AC的最小项标准式为()。
A.F(A,B,C)=∑m(0,2,4)B.F(A,B,C)=∑m(1,5,6,7)C.F(A,B,C)=∑m (0,2,3,4)D.F(A,B,C)=∑m(3,4,6,7)8.逻辑电路如图1所示,其逻辑功能相当于一个()。
A.“与”非门B.“导或”门C.“与或非”门图19.三输入、八输出译码器,对任一组输入值其有效输出个数为()。
A.3个B.8个 C.1个D.11个10、逻辑函数F==( )。
数字电路期中考试带答案
温州大学期中考试试卷 2009-2010学年第 2 学期注:8+10+8+8+10+5+8+8+10+10+7+8=1001.(本题8分)将下列十进制数转换为二进制数,八进制数和十六进制数(要求转换误差不大于 2-4): (1)(127)D =(2)(2.718)D =2. (本题10分)逻辑函数化简 (1) 用代数法化简(2) 用卡诺图法化简学院-------------------------------------- 班级---------------------------------- 姓名------------------------------------- 学号-------------------------------------3. (本题8分)设有一个74LS04反相器驱动两个74ALS04反相器和四个74LS04反相器。
(1)问驱动门是否超载?(2)若超载,试提出一改进方案;若未超载,问还可增加几个74LS04门?(74LS04参数为:I OL(max)=8mA, I OH(max)=0.4mA;I IH(max)=0.02mA;VOL(max)=0.5V )4. (本题8分)设计一发光二极管(LED)驱动电路,设LED的参数为V F=2.5V,I D=4.5mA; 若V cc=5V,当LED发亮时,电路的输出为低电平,选出集成门电路的型号,并画出电路图.5. (本题10分)某足球评委会由一位教练和三位球迷组成,对裁判员的判罚进行表决。
当满足以下条件时表示同意:有三人或三人以上同意;或者有两人同意,但其中一人是叫教练。
试用2输入与非门设计该表决电路6. (本题5分)判断图所示电路在什么条件下产生竞争冒险,怎样修改电路能消除竞争冒险?7. (本题8分)用译码器74HC138和适当的逻辑门实现函数8. (本题8分)应用74HC151实现逻辑函数L=(A⊙B)⊙C9. (本题10分)由4位数加法器74HC283构成的逻辑电路如图所示,M和N为控制端,试分析该电路的功能。
《数字电路》期终考试试卷
《数字电路》期终考试试卷2.在数字电路中,用来存放二进制数据或代码的电路称为__________。
3.在数字电路中,产生脉冲的方法主要有两种:一种是利用各种形式的直接产生,一种是通过将其他波形变换成所需的矩形脉冲波形。
4.若一个逻辑电路在任何时刻产生的输出信号不仅与该时刻的输入信号有关,而且还与电路原来的状态有关,则称该电路为___________。
5.施密特触发器有两个不同的触发电平,存在 __ 。
二、选择题(每小题5分,共20分)1.(126)8=( )10A (86)10B (85) 10C (87) 10D (84)102. (219) 10=( )16A (DB) 16B (DA) 16C (DC) 16D (DD) 163.用555定时器构成的多谐振荡器,输出矩形脉冲的周期是:A T=0.7(R1+2R2)CB T=0.7(R1+R2)C C T=0.7(2R1+R2)CD T=0.7(2R1-R2)C4.单稳态触发器的暂稳态持续时间t w=A t w=0.7RCB t w=0.6RC C t w=0.5RCD t w=0.4RC三、计算题(每小题10分,共60分)1.已知逻辑函数表达式为F=AB+A B,画出对应的逻辑图2.采用卡诺图化简逻辑函数 F=∑m(1,7,8)+∑d(3,5,9,10,12,14,15)3.分析如图所示电路的逻辑功能4.对负边沿JK触发器加输入信号CP、J、K,波形如下图所示。
试画出输出端Q的波形,设初态Q n=05.试写出该时序电路的驱动方程、状态方程、输出方程。
6.试用4选1数据选择器实现组合逻辑函数L(A、B、C)=A B C+A B C+AB。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
2014—2015学年度《数电》期中考试试卷
班别姓名:学号:
一、填空题(每空1分,共25分)
1、常用数制有十进制、_____________ 、_____________ 等。
2、在逻辑代数中,A+1 __________ ;B+B= __________ 。
3、数字电路的基本逻辑关系有___________ 、________ 、 ______ ,基本逻辑运算
有 ______ 、 ______ 、______ 。
4、逻辑代数中的变量只有和________ 两种取值。
5、(123. 75)10= (______________ )_ 2
6、(1010110010011 2= (_______________ )16
7、(10110)2= (________ _10
8、数字电路中基本逻辑门是_________ 、_________ 、_________ o常用的复合门电路有 _________ 、____________ 、__________ 、____________ o
9、与非门实现的逻辑功能为___________________ 。
异或门实现的逻辑功能是
_________________ o
10、如果把两输入与非门的两个输入端连在一起使用,它将成为一个_________ 门。
二、选择题(每题2分,共20分)
1、逻辑代数中的摩根定律可表示为 A B C=()o
A、ABC
B、A • B - C c、A + B + C D、A + B • C
2、有10101的二进制代码,表示十进制数为()。
------- 1
A、11
B、21
C、25
D、17 A—0---------- 丫
3、图中这个电路实现什么功能()J -------------------
A、Y=1
B、Y=0
C、Y= A
D、Y= A
4、模拟电路与脉冲电路的不同在于()
模拟电路的晶体管多工作在开关状态
脉冲电路的晶体管多工作在饱和状态
模拟电路的晶体管多工作在截止状态
脉冲电路的晶体管多工作在开关状态
5、若逻辑函数L=A+ABC+BC+ B c ,贝U L 可化简为(
6、在何种输入情况下,“或非”运算的结果是逻辑0,不正确的是(
)
A •全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 输入为1,其他输入为0。
7、. 一位十六进制数可以用多少位二进制数来表示?(
A 1
B
. 2 C
. 4
2、画出下列逻辑函数式的逻辑电路
A 、 L=A+BC
B 、L=A+
C C 、L=AB+ B C
D 、L=A D.任
D . 16
& 以下表达式中符合逻辑运算法则的是(
A. C • C= C 2
B. 1+1=10
C. 0<1
)
D. A+1 = 1 9、四位16进制数最大的数是( )
A . 1111
B .7777 C. FFFF
D 都不是
10、以下表达式中符合逻辑运算法则的是(
A. A+A*B=1
B . A+A*B=A
C . A+A*B=B
2
)
D . A+A*B=0
3
作图题(15分)
1、画出下列逻辑图的表达式和真值表。
C
B
A
① Y AB B AB ② y (A B) A B
四、化简与计算(25分)
2、Y AD AD AB AC BD 1、Y AB AB AB AB
3、Y ABC ABC
4、计算下列用补码表示的二进制数的代数和。
(1)01001101+00100110 (2)10011101+01001011
个报警系统, 五、有三种化学药品A、B、C,它们之间绝对不能混合使用,试设计当出
现同时取用其中两种药品时给出警示信号。
(15分)
(1)按设计要求列出真值表。