基于GMSK调制与解调设计与仿真设计

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

基于GMSK调制与解调设计及仿真

1.系统简介

高斯滤波最小频移键控(Gaussian Filtered Minimum Shift Keying - GMSK)调制技术是从MSK调制的基础上发展起来的一种数字调制方式,其特点是在数据流送交频率调制器前先通过一个Gauss滤波器(预调制滤波器)进行预调制滤波,以减小两个不同频率的载波切换时的跳变能量,使得在相同的数据传输速率时频道间距可以变得更紧密。由于数字信号在调制前进行了Gauss预调制滤波,调制信号在交越零点不但相位连续,而且平滑过滤,因此GSMK调制的信号频谱紧凑、误码特性好,在数字移动通信中得到了广泛使用。

本文主要在瑞利信道下,通过在Matlab中的Simulink建立仿真模型进行仿真研究。并通过观察GMSK系统调制、解调信号的的波形、频谱图、眼图和误码率曲线,从而验证GMSK系统较为良好的性能。

2.系统的设计原理

GMSK系统主要由信号产生模块、信号调制模块、信道、信号解调模块、误码率计算模块组成。本系统由信号产生模块产生一个二进制序列,再经过调制器进行调制,之后便将调制信号送入信道,经过解调器解调得到解调信号。为计算系统误码率,则在调制器后加一误码率计算模块,计算误码率。GMSK系统原理框图如下图所示:

图2.1 GMSK调制与解调系统原理框图

在设计中,选用贝努力二进制序列产生器来产生器(Bernoulli Binary Generator)产生一个二进制序列,将序列送入GMSK基带调制器模块(GMSK Modulator Baseband)中得到已调信号,再将已调信号送入一个加性高斯白噪声信道,将信噪比设为一个变量,用于绘制信噪比——误码率曲线。解调阶段则将通过加性高斯白噪声信道的信号输入GMSK基带解调器模块(GMSK Demodulator Baseband)中,其后接一个误码率统计模块(Error Rate Calculation),且误码率统计模块另一输入端接至源信号处。而用示波器观察解调波形并与源信号波形进行比较。因为已调信号是一复合信号,所以要用complex to Magnitude-Angle 模块,再用示波器分别观察其幅度与相角。另外还用频谱仪观察了已调信号的频谱。

2.1GMSK调制原理介绍

调制原理中滤波器是高斯低通滤波器,它的输出直接对VCO进行调制,以保

持已调包络恒定和相位连续。原理如下所示:

已调信号

为了使输出频谱密集,前段滤波器必须具有以下待性[2]:

1.窄带和尖锐的截止特性,以抑制FM调制器输入信号中的高频分量;

2.脉冲响应过冲量小,以防止FM调制器瞬时频偏过大;

3.保持滤波器输出脉冲响应曲线下的面积对应丁pi/2的相移。以使调制指数为1/2。

前置滤波器以高斯型最能满足上述条件,这也是高斯滤波器最小移频键控(GMSK)的由来。

2.2GMSK解调原理介绍

GMSK本是MSK的一种,而MSK又是是FSK的一种,因此,GMSK检波也可以采用FSK检波器,即包络检波及同步检波。而GMSK还可以采用时延检波,但每种检波器的误码率不同。

GMSK 非相干解调原理是采用FM 鉴频器(斜率鉴频器或相位鉴频器)再加判别电路,实现GMSK 数据的解调输出。原理如下:

GMSK 数据 3仿真模型建立及参数设置

如图3.1为GMSK 调制解调系统的SimuLink 仿真模型,整个系统主要包括五大模块:随机信号发生模块、GMSK 调制模块、信道、GMSK 解调模块、误码率统计模块。

图3.1 GMSK 系统SimuLink 仿真模型图

3.1信号发生模块

因为GMSK 信号只需满足非归零数字信号即可,本设计中选用(Bernoulli Binary Generator )来产生一个二进制序列作为输入信号。

该模块的参数设计这只主要包括以下几个。其中probability of a zero 设带通滤波器 限幅器 鉴频器

判决器

置为0.5表示产生的二进制序列中0出现的概率为0.5;Initial seed 为200表示随机数种子为200;sample time为1/10表示抽样时间即每个符号的持续时间为0.1s。当仿真时间固定时,可以通过改变sample time参数来改变码元个数。例如仿真时间为10s,若sample time为1/1000,则码元个数为10000。

3.2 调制与解调模块

MSK Modulator Baseband为GMSK基带调制模块,其input type参数设为Bit表示表示模块的输入信号时二进制信号(0或1)。BT product为0.3表示带宽和码元宽度的乘积。其中B是高斯低通滤波器的归一化3dB带宽,T是码元长度。当B·T=∞时,GMSK调制信号就变成MSK调制信号。BT=0.3是GSM采用的调制方式。Plush length则是脉冲长度即GMSK调制器中高斯低通滤波器的周期,设为4。Symbol prehistory表示GMSK调制器在仿真开始前的输入符号,设为1。Phase offset 设为0,表示GMSK基带调制信号的初始相位为0。Sample per symbol为1表示每一个输入符号对应的GMSK调制器产生的输出信号的抽样点数为1。

AWGN Channel为加性高斯白噪声模块,高斯白噪声信道的Mode参数(操作模式)设置为Signal to noise(SNR),表示信道模块是根据信噪比SNR确定高斯白噪声的功率,这时需要确定两个参数:信噪比和周期。而将SNR参数设为一个变量xSNR是为了在m文件中编程,计算不同信噪比下的误码率,改变SNR即改变信道信噪比。

GMSK Demodulator Baseband是GMSK基带解调器。其前六项参数与GMSK调制器相同,并设置的值也相同。最后一项为回溯长度Traceback Length,设为变量16,在m文件通过改变其值,可以观察回溯长度对调制性能的影响。

3.3 误码率计算模块

Receive dely(接收端时延)设置为回溯长度加一,表示接收端输入的数据滞后发送端数据TracebackLength+1个输入数据;Computation delay(计算时延)设为0,表示错误率统计模块不忽略最初的任何输入数据。Computation mode(计算模式)设置为Entire frame(帧计算模块),表示错误率统计模块对发送端和接

相关文档
最新文档