D触发器与移位寄存器模块功能测试

合集下载

实验8移位寄存器逻辑功能测试及应用

实验8移位寄存器逻辑功能测试及应用

实验8移位寄存器逻辑功能测试及应用一、实验目的1.了解移位寄存器的基本原理及逻辑功能。

2.掌握移位寄存器的逻辑功能测试方法。

3.学会使用移位寄存器进行逻辑功能的实际应用。

二、实验器材数字逻辑实验箱、示波器、连接线。

三、实验原理移位寄存器是一种能够存储和移动数据的逻辑电路。

它由多个触发器组成,每个触发器都与相邻的触发器连接,形成环形结构。

移位寄存器中的数据可以通过输入口输入,通过时钟信号控制触发器的状态变化,从而实现数据的移动。

移位寄存器有三种基本的逻辑功能:1.移动功能:数据可以向左或向右移动一个位置。

2.并行转移功能:数据可以从一个移位寄存器转移到另一个移位寄存器。

3.并行加载功能:可以将数据同时加载到多个触发器中。

四、实验步骤1.按照实验电路图连接实验电路。

将四个LED灯分别连接到74LS194寄存器的Q0、Q1、Q2、Q3输出端,将四个开关分别连接到74LS194寄存器的A、B、C、D输入端。

将实验箱提供的方波电压输入到74LS194寄存器的CP时钟输入端。

2.打开示波器,并将示波器的探头连接到74LS194寄存器的CP时钟输入端。

3.调整示波器的时间基准,使波形在示波器的显示屏上能够清晰可见。

调整示波器的垂直放大倍数,使波形的幅度适中。

4.分别将开关1、2、3、4打开或关闭,观察LED灯的亮灭情况,并观察示波器上的波形变化。

五、实验结果分析根据实验步骤中的操作,可以得到如下运行结果:1.当开关1打开时,移位寄存器内的数据向右移动一个位置,即Q3→Q2→Q1→Q0→Q3、LED灯的亮灭情况和示波器上的波形变化均符合预期,实验成功。

2.当开关2打开时,移位寄存器内的数据向左移动一个位置,即Q0→Q3→Q2→Q1→Q0。

LED灯的亮灭情况和示波器上的波形变化均符合预期,实验成功。

3.当开关3打开时,移位寄存器内的数据从最右端向左移动一个位置,即Q3→Q3→Q2→Q1→Q0。

LED灯的亮灭情况和示波器上的波形变化均符合预期,实验成功。

移位寄存器实验报告

移位寄存器实验报告

移位寄存器实验报告实验题目:移位寄存器一、实验目的了解移位寄存器的原理,掌握移位寄存器的应用。

二、实验原理移位寄存器是一种存储器件,用于将二进制数据以位为单位进行移位操作。

移位寄存器由若干个D触发器组成,每个D触发器的输出接入下一个D触发器的输入,以此类推,形成了一个环形移位结构。

移位寄存器有三种基本工作模式:串行输入并行输出(SIPO),并行输入串行输出(PISO)和并行输入并行输出(PIPO)。

在SIPO模式下,输入数据串行输入到移位寄存器的最高位,然后逐个向低位移位,最终输出到最低位。

在PISO模式下,输入数据并行输入到移位寄存器的每个位,然后逐个向高位移位,最终输出到最高位。

在PIPO模式下,输入数据并行输入到移位寄存器的每个位,然后逐个向低位移位,最终输出到每个输出端口。

移位寄存器的应用很广泛,其中最常见的是时序信号的处理。

移位寄存器可以用于数字频率合成、序列生成、编码器和解码器等方面。

三、实验设备1. 计算机2. Xilinx ISE14.6软件3. BASYS2开发板4. USB下载器四、实验步骤1. 设计移位寄存器的电路原理图并进行仿真。

2. 在Xilinx ISE14.6软件中创建工程并添加源、约束和测试文件。

3. 将电路原理图转换成Verilog HDL代码。

4. 将Verilog HDL代码综合为综合网表,并进行时序分析。

5. 将综合网表映射到BASYS2开发板上并进行状态机调试。

6. 使用USB下载器将设计好的逻辑文件下载到FPGA上。

7. 连接开发板的输入输出端口,验证移位寄存器的正确性,并观察输出端口结果。

五、实验结果与分析通过移位寄存器的实验,我们学会了如何使用Verilog HDL设计并实现移位寄存器,并对移位寄存器进行了详细的仿真、综合、映射和下载调试。

在实验过程中,我们还学会了串行输入并行输出(SIPO),并行输入串行输出(PISO)和并行输入并行输出(PIPO)三种基本工作模式,掌握了移位寄存器在数字频率合成、序列生成、编码器和解码器等领域中的使用方法。

实验三 集成触发器的逻辑功能测试

实验三  集成触发器的逻辑功能测试

实验三集成触发器的逻辑功能测试一实验目的1.熟悉JK触发器的基本原理及逻辑功能。

2.熟悉D触发器的基本原理及逻辑功能,并掌握其寄存器移位功能。

3.触发器应用。

二、实验仪器及器件仪器:逻辑箱,数字万用表器材:74LS74、74LS76三、实验基本原理JK触发器有J输入端和K输入端,而其R D端和S D端则具有置“0”置“1”功能,逻辑功能如下:Q当J=K=1时,CP脉冲作用下,触发器状态翻转,写成Q n+1=n当J=K=0时,CP脉冲作用下,触发器保持原状态,写成O n+1=Q n。

当J=1,K=0时,在CP脉冲作用下,触发器置“1”,写成Q n+1=1。

当J=0,K=1时,在CP脉冲作用下,触发器置“0”,写成Q n+1=0。

四、触发器的逻辑功能测试:1.JK触发器(选择74LS76)(1)触发器置“0”“1”的功能测试:将S D、R D分别接开关K i+1、K i,Q、Q分别接发光二极管L i+1,L i,按表5—1要求改变S D,R D(J,K,CP处于任意状态),并在S D R D作用期间,任意改变J、K、CP的状态,观察Q和Q的状态,将结果记录于表5—1。

表5—1JK触发器菜单将J、K分别接开关,而上述实验中的S D、R D所接开关保持,并置于S D=1,R D=1的状态,时钟CP接单脉冲信号源的输出P+,按表5—2要求,将结果记录于表5—2。

2.D 触发器:(选择74LS74)(1) 触发器置“0”置“1”功能的测试:将S D 、R D 分别接开关,Q 、Q 分别接发光二极管,按表5—3要求改变S D 、R D (D 及CP 处于任意状态)并在S D 、R D 作用期间,任意改变D 与CP 的状态,测试S D 、RD 的功能,并将测试结果记录于表5—3。

表5—3D 触发器S D 、R D 菜单(2) 对D 触发器逻辑功能的测试,结果记录于表5—4。

表5—触发器逻辑菜单五、触发器应用:1. 用JK 触发器(74LS76)组成三位串行累加计数器如下图。

移位寄存器实验报告

移位寄存器实验报告

移位寄存器实验报告移位寄存器和计数器的设计实验室:实验台号:日期:专业班级:姓名:学号:一、实验目的1. 了解二进制加法计数器的工作过程。

2. 掌握任意进制计数器的设计方法。

二、实验内容(一)用D触发器设计左移移位寄存器(二)利用74LS161和74LS00设计实现任意进制的计数器设计要求:以实验台号的个位数作为所设计的任意进制计数器(0、1、2任选)。

三、实验原理图1.由4个D触发器改成的4位异步二进制加法计数器(输入二进制:11110000)2.测试74LS161的功能3.熟悉用74LS161设计十进制计数器的方法。

①利用置位端实现十进制计数器。

②利用复位端实现十进制计数器。

四、实验结果及数据处理1.左移寄存器实验数据记录表要求:输入二进制:111100002.画出你所设计的任意进制计数器的线路图(计数器从零开始计数),并简述设计思路。

8进制利用复位法实现8进制计数器,8=1000B,将A端同与非门相连,当A端=1时,使复位端获得信号,复位,从而实现8进制。

五、思考题1. 74LS161是同步还是异步,加法还是减法计数器?答:在上图电路中74LS161是异步加法计数器。

2. 设计十进制计数器时将如何去掉后6个计数状态的?答:通过置位端实现时,将Q0、Q3 接到与非门上,输出连接到置位控制端。

当Q3=1,Q2=0,Q1=0,Q0=1,即十进制为9时,与非门输入端Q0、Q3同时为高电平,位控制端为低电位,等到下一个CP上升沿到来时,完成置数,全部置为0。

3. 谈谈电子实验的心得体会,希望同学们提出宝贵意见。

答:通过这学期的电子实验,我对电子电路有了更加深入地了解。

初步了解了触发器、寄存器、计数器等电子元件的使用。

将理论与实践相结合,更加深入的了解了电子技术,学到了很多,对这学期的电子实验十分满意。

Multisim之移位寄存器

Multisim之移位寄存器

D 触发器构成的移位寄存器一、实验目的1、熟悉Multisim 软件的使用方法。

2、加深对触发器工作原理的理解。

3、掌握D 触发器逻辑功能的应用。

4、了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及触发特点。

二、虚拟实验仪器及器材直流电源、信号发生器、逻辑分析仪等仪器等。

74LS74引脚图 74LS74逻辑图三、实验原理D 触发器在时钟脉冲CP 的前沿(正跳变0到1)发生翻转,触发器的次态取决于脉冲上升沿到来之前D 端的状态,即=D 。

因此,它具有置0、置1两种功能。

由于CP=1期间电路具有维持阻塞作用,所以在CP=1期间,D 端的数据状态变化,不会影响触发器的输出状态。

和分别是决定触发器初始状态的直接置0、置1端。

当不需要强迫置0、置1时,和端都应置高电平(如接+5V 电源)。

74LS74、74LS175等均为上升沿触发的边沿触发器。

触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生器等。

U1A74LS74D1D21Q5~1Q6~1CLR11CLK 3~1PR4四、实验内容:1、逻辑功能验证。

在仿真平台画出如下电路图:2、仿真运行分析逻辑分析仪显示的波形如图所示。

图中,“1”为Q1端输出信号的波形,“2”为Q2端输出信号的波形,“3”为Q 3输出信号的波形,“4”为Q4输出信号的波形。

由图可知,4个D触发器一次输出输入数据,高位触发器与地位触发器的输出波形只差一个脉冲周期。

过4个时钟脉冲后,4个触发器的输出状态Q4Q3Q2Q1与输入数码D4D3D2D1相对应。

四、收获与感想在本次实验中我清楚的认识到软件仿真的快捷与方便,使用软件仿真可以快捷迅速的对电路进行查错,修正。

省时省力。

尤其对较复杂的电路,搭建电路进行硬件仿真比较困难耗时,而且还会浪费资源,如果在软件调试成功后,在进行硬件电路的搭建就比较方便快捷,而且硬件电路的功能也比较容易实现。

刚开始使用Multisim的时候,有很多次仿真结果与实际差很多,不过仿真终究是在理想情况下对电路进行的模拟,与现实的结果会有较大的差距,但并不能否认它的用处,因为在熟悉之后就可以根据经验由仿真数据进行实际电路的评估与制作。

实验五 D触发器 功能测试及其应用

实验五 D触发器 功能测试及其应用
实验五
D触发器 触发器
功能测试及其应用
D触发器的功能测试 触发器的功能测试
74LS74型双 触发器芯片引脚图 型双D触发器芯片引脚图 型双
Vcc 2RD
14 13
2D
12
2CP
11
2SD
10
2Q
9
2Q
8
D
RD
Q Q
CP SD D
Q
CP SD
1 2 3
RD Q
6 7
4
5
1RD
1D
1CP
1SD
1Q
1Q
CP
K
J
Q
输入端指示灯 输入端插孔 输入控制开关
J 0 0 0 0 1 1 1 1
用J-K触发器构成二进制计数器 触发器构成二进制计数器
2Q 1Q 输出端指示灯 输出端插孔 +5V 表6-3
74LS112
CP 1 2 3 4
Q2
Q1
CP单脉冲按键 单脉冲按键
J-K触发器演示 触发器演示 讲解结束
GND
D触发器功能测试的引脚连线图
输出端指示灯
强迫置位端功能测试
+5V Q 输出端插孔 表5-1
74LS74A
RD S D
0 0
RD SD 输入端指示灯 输入端插孔 输入控制开关
Q
不用
0 1 0 1
1 1
D触发器功能测试的引脚连线图
输出端指示灯 Q 输出端插孔 +5V 表5-2
D触发器逻辑功能测试 触发器逻辑功能测试
74LS74A
D 0 0 1
Qn 0 1 0 1
Qn+1
RD D
CP SD 输入端指示灯 输入端插孔

触发器实验报告

触发器实验报告

触发器实验报告一、实验目的本次触发器实验的主要目的是深入了解触发器的工作原理、功能特性以及在数字电路中的应用。

通过实际操作和观察,掌握触发器的基本概念,熟悉其逻辑功能和时序特性,为后续更复杂的数字电路设计和分析打下坚实的基础。

二、实验设备与器材1、数字电路实验箱2、示波器3、逻辑分析仪4、若干集成电路芯片,包括 D 触发器、JK 触发器等三、实验原理(一)D 触发器D 触发器是一种在时钟脉冲上升沿或下降沿触发的触发器。

当 D 输入端的数据在时钟脉冲作用下被传输到输出端 Q。

其逻辑表达式为:Q(n+1) = D 。

(二)JK 触发器JK 触发器具有置 0、置 1、保持和翻转四种功能。

当 J = 1,K = 0 时,触发器置 1;当 J = 0,K = 1 时,触发器置 0;当 J = K = 0 时,触发器保持原态;当 J = K = 1 时,触发器翻转。

其逻辑表达式为:Q(n+1) = JQ' + K'Q 。

四、实验内容与步骤(一)D 触发器功能测试1、按照实验电路图在数字电路实验箱上连接好 D 触发器芯片。

2、将 D 输入端分别接高电平和低电平,通过示波器观察时钟脉冲和输出端 Q 的波形,记录实验结果。

(二)JK 触发器功能测试1、依照实验电路图搭建 JK 触发器的实验电路。

2、分别设置 J、K 输入端的不同组合,观察并记录输出端 Q 的状态变化。

(三)触发器的级联1、将多个 D 触发器或 JK 触发器级联,形成移位寄存器。

2、输入串行数据,观察移位寄存器的输出结果。

五、实验数据与结果分析(一)D 触发器实验结果当 D 输入端接高电平时,在时钟脉冲上升沿,输出端 Q 变为高电平;当 D 输入端接低电平时,在时钟脉冲上升沿,输出端 Q 变为低电平。

这与 D 触发器的逻辑功能相符,验证了其正确性。

(二)JK 触发器实验结果在不同的 J、K 输入组合下,JK 触发器的输出端 Q 呈现出置 1、置0、保持和翻转的状态,与理论预期完全一致。

d触发器构成的双向移位寄存器

d触发器构成的双向移位寄存器

d触发器构成的双向移位寄存器一、概述双向移位寄存器是一种能够实现数据在两个方向上移位的寄存器,其常用于串行通信、计数器等电路中。

其核心部件为d触发器构成的双向移位寄存器。

二、d触发器d触发器是一种基本的时序电路,其输入为数据输入端d和时钟输入端clk,输出为输出端q和反相输出端q'。

当clk上升沿到来时,其将输入端d的值传输到输出端q上,并保持该状态直到下一个时钟上升沿到来。

三、双向移位寄存器1. 正向移位当控制信号sel=0时,数据从右侧进入寄存器,并从左侧出去。

具体实现方式为:将右侧d触发器的数据输入端连接至外部数据线,左侧d触发器的数据输入端连接至右侧d触发器的输出端。

2. 反向移位当控制信号sel=1时,数据从左侧进入寄存器,并从右侧出去。

具体实现方式为:将左侧d触发器的数据输入端连接至外部数据线,右侧d触发器的数据输入端连接至左侧d触发器的输出端。

四、构成原理双向移位寄存器由两个d触发器构成,其中一个为正向移位的d触发器,另一个为反向移位的d触发器。

控制信号sel用于控制数据的进出方向。

1. 正向移位当sel=0时,右侧d触发器接收外部数据输入,左侧d触发器接收右侧d触发器的输出。

当时钟上升沿到来时,右侧d触发器将数据传递至左侧d触发器,并保持该状态直到下一个时钟上升沿到来。

此时,左侧d触发器将其输出端的值传递至外部输出线。

2. 反向移位当sel=1时,左侧d触发器接收外部数据输入,右侧d触发器接收左侧d触发器的输出。

当时钟上升沿到来时,左侧d触发器将数据传递至右侧d触发器,并保持该状态直到下一个时钟上升沿到来。

此时,右侧d触发器将其输出端的值传递至外部输出线。

五、应用场景双向移位寄存器常用于串行通信、计数器等电路中。

在串行通信中,双向移位寄存器可实现数据在串行通信线路中的进出操作;在计数器中,双向移位寄存器可实现计数器的正向和反向计数操作。

六、总结双向移位寄存器是一种能够实现数据在两个方向上移位的寄存器,其核心部件为d触发器构成的双向移位寄存器。

寄存器实验实验报告

寄存器实验实验报告

寄存器实验实验报告一. 引言寄存器是计算机中重要的数据存储器件之一,用于存储和传输数据。

通过对寄存器进行实验,我们可以更好地理解寄存器的工作原理和应用。

本实验旨在通过设计和测试不同类型的寄存器,深入掌握寄存器的各种功能和操作。

二. 实验设计本实验设计了两个寄存器的实验,分别为移位寄存器和计数器寄存器。

1. 移位寄存器实验移位寄存器是一种特殊的串行寄存器,它能够实现对数据位的移位操作。

本实验设计了一个4位的移位寄存器,分别使用D触发器和JK触发器实现。

实验步骤如下:1) 首先,根据设计要求将4个D或JK触发器连接成移位寄存器电路。

2) 确定输入和输出端口,将输入数据连接到移位寄存器的输入端口。

3) 设计测试用例,输入测试数据并观察输出结果。

4) 分析实验结果,比较不同触发器类型的移位寄存器的性能差异。

2. 计数器寄存器实验计数器寄存器是一种能够实现计数功能的寄存器。

本实验设计了一个二进制计数器,使用T触发器实现。

实验步骤如下:1) 根据设计要求将多个T触发器连接成二进制计数器电路。

2) 设计测试用例,输入计数开始值,并观察输出结果。

3) 测试计数的溢出和循环功能,观察计数器的行为。

4) 分析实验结果,比较不同计数器位数的性能差异。

三. 实验结果与分析在实验过程中,我们完成了移位寄存器和计数器寄存器的设计和测试。

通过观察实验结果,可以得出以下结论:1. 移位寄存器实验中,无论是使用D触发器还是JK触发器,移位寄存器都能够正确地实现数据位的移位操作。

而使用JK触发器的移位寄存器在性能上更加优越,能够实现更复杂的数据操作。

2. 计数器寄存器实验中,二进制计数器能够准确地实现计数功能。

通过设计不同位数的计数器,我们发现位数越多,计数范围越大。

综上所述,寄存器是计算机中重要的存储器件,通过实验我们深入了解了寄存器的工作原理和应用。

移位寄存器和计数器寄存器都具有广泛的应用领域,在数字电路设计和计算机系统中起到了重要作用。

实验七8位移位寄存器的设计

实验七8位移位寄存器的设计

实验七8位移位寄存器的设计引言:移位寄存器是一种常见的数字电路,可以在电子系统中进行数据的移位操作。

在本实验中,我们将设计一个8位移位寄存器,通过串行输入和串行输出实现数据的向左和向右移位。

实验中我们将使用逻辑门和触发器来构建移位寄存器。

设计目标:设计一个8位的移位寄存器,能够通过串行输入和串行输出来实现数据的向左和向右移位,并能够在任意时刻改变移位的方向。

设计步骤:步骤一:根据设计目标,首先需要确定使用何种类型的触发器来实现移位寄存器。

由于我们需要实现向左和向右移位,可以选择D触发器来实现。

步骤二:根据所选择的触发器类型,我们需要对每一个位进行设计。

由于需要实现8位的移位寄存器,我们需要使用8个D触发器来实现。

步骤三:根据移位寄存器的逻辑功能,我们需要使用两个串行输入引脚和两个串行输出引脚。

其中一个串行输入引脚用于向左移位,另一个用于向右移位;一个串行输出引脚用于向左移位输出,另一个用于向右移位输出。

步骤四:将每个D触发器的输出与下一个D触发器的输入相连,以实现数据的串行输入。

步骤五:将第一个D触发器的输入与移位方向引脚相连,以确定移位方向。

步骤六:将最后一个D触发器的输出与移位输出引脚相连,以实现数据的串行输出。

步骤七:对每个D触发器的时钟输入引脚进行控制,以实现移位操作的时序。

结果分析:通过上述步骤所设计的8位移位寄存器,我们可以实现数据的向左和向右移位操作,并可以通过串行输入和串行输出进行控制和观测。

移位寄存器在很多应用中都有广泛的应用,例如串行通信、数据压缩、图像处理等。

总结:通过本次实验,我们了解了移位寄存器的基本原理和设计方法。

通过串行输入和串行输出实现数据的移位,可以有效地利用数字电路来实现数据处理任务。

移位寄存器作为一种重要的数字电路,为我们提供了一种灵活和便捷的数据存储和处理方式。

在今后的学习和实际应用中,我们可以进一步深入了解移位寄存器的其他应用和扩展。

触发器功能测试实验报告

触发器功能测试实验报告

触发器功能测试实验报告触发器功能测试实验报告一、引言触发器是数字电路中常见的重要元件之一,其具有存储和放大信号的功能。

触发器的功能测试是电子工程师在设计和制造数字电路时必不可少的一项工作。

本实验旨在通过对不同类型的触发器进行功能测试,验证其在不同工作模式下的正确性和稳定性。

二、实验目的1. 了解触发器的基本原理和工作模式;2. 掌握触发器的功能测试方法;3. 验证不同类型触发器的工作特性。

三、实验器材和材料1. 实验板;2. 电源供应器;3. 逻辑分析仪;4. 电压表;5. 连接线。

四、实验步骤1. 准备工作:将实验板连接好电源供应器和逻辑分析仪,并确保连接正确;2. 功能测试:依次测试RS触发器、D触发器、JK触发器和T触发器的工作特性。

五、实验结果与分析1. RS触发器测试:a. 将RS触发器的S端和R端分别接入逻辑分析仪的输入端,CLK端接入逻辑分析仪的时钟信号输出端;b. 通过逻辑分析仪观察输入信号和输出信号的波形,并记录下来;c. 分析波形,验证RS触发器在不同输入情况下的工作特性。

2. D触发器测试:a. 将D触发器的D端接入逻辑分析仪的输入端,CLK端接入逻辑分析仪的时钟信号输出端;b. 通过逻辑分析仪观察输入信号和输出信号的波形,并记录下来;c. 分析波形,验证D触发器在不同输入情况下的工作特性。

3. JK触发器测试:a. 将JK触发器的J端和K端分别接入逻辑分析仪的输入端,CLK端接入逻辑分析仪的时钟信号输出端;b. 通过逻辑分析仪观察输入信号和输出信号的波形,并记录下来;c. 分析波形,验证JK触发器在不同输入情况下的工作特性。

4. T触发器测试:a. 将T触发器的T端接入逻辑分析仪的输入端,CLK端接入逻辑分析仪的时钟信号输出端;b. 通过逻辑分析仪观察输入信号和输出信号的波形,并记录下来;c. 分析波形,验证T触发器在不同输入情况下的工作特性。

六、实验结论通过对RS触发器、D触发器、JK触发器和T触发器的功能测试,我们可以得出以下结论:1. RS触发器具有存储和放大信号的功能,可以用于实现简单的存储器和时序电路;2. D触发器可以将输入信号在时钟脉冲到来时存储,并在下一个时钟脉冲到来时输出;3. JK触发器是一种带有异步清零和置位功能的触发器,可以用于实现频率分割和计数器等电路;4. T触发器是一种特殊的JK触发器,其输入端和输出端相连,可以实现频率分割和频率加倍等功能。

d触发器构成的双向移位寄存器

d触发器构成的双向移位寄存器

D触发器构成的双向移位寄存器引言在数字电路中,双向移位寄存器是一种常见的电路元件,它能够在两个方向上进行数据的移位操作。

而D触发器则是常用的触发器类型之一,它具有存储数据、数据输入和数据输出的功能。

本文将介绍如何使用D触发器构成一个双向移位寄存器,并详细解释其设计原理、原理图以及工作原理等相关内容。

设计原理双向移位寄存器可以实现向左或向右移位的功能。

为了实现这一功能,我们可以使用两个D触发器进行串联,然后通过控制信号来选择数据的方向。

首先,我们需要了解D触发器的工作原理。

D触发器是一种有两个稳定状态的触发器,它有一个数据输入端D,一个时钟输入端CLK和一个输出端Q。

当时钟输入端的时钟信号从低电平变为高电平时,D触发器会将D端的数据存储到内部的状态变量中,并通过输出端Q输出。

借助D触发器的这种特性,我们可以构成一个双向移位寄存器,通过串联两个D触发器。

其中一个D触发器用于存储数据(称为存储触发器),另一个D触发器用于将存储触发器的数据移位(称为移位触发器)。

原理图下图是一个双向移位寄存器的原理图:+-----------------------------------------+| |D ---->| || 存储触发器 |>| +----------------+ |>|D0 | D触发器 | |>| +----------------+ |>| +----------------------+ || | | |CLK --->| | | || | 移位触发器 | |>|Q0 | | |>| | +-----------+ | |>| | |输入选择器 |----+ |>| | +-----------+ | || +----------------------+ |>|D1 |>| |>| Q1 || |+-----------------------------------------+工作原理下面将详细解释双向移位寄存器的工作原理。

实验三 D 触发器、移位寄存器、二进制计数器的 Verilog实现

实验三    D 触发器、移位寄存器、二进制计数器的 Verilog实现

实验三D触发器、移位寄存器、二进制计数器的Verilog实现及仿真器的使用一、实验目的:本次实验利用Verilog语言输入方式、定义引脚(两种方法)、;掌握任意进制计数器的设计方法,进一步掌握时钟的具体使用方法,进而掌握仿真器的使用方法。

二、实验要求:1、利用Verilog硬件描述语言,参考提供源程序,设计带进位的4位二进制计数器;2、利用Verilog硬件描述语言,自行设计七段码译码器;3、在原理图中调用计数器模块和译码器模块构成一个可以直接驱动数码管的单元模块。

带有清零端的D触发器源程序moduleR_SY_D_FF ( RB, D, CLK, Q, QB );input RB, D, CLK;output Q, QB ;reg Q;assign QB = ~Q;always @( posedge CLK or negedge RB )Q <= ( !RB )? 0: D;endmodule串行输入并行输出移位寄存器源程序module SIN_POUT_SHIFT ( RSTB, IN, CLK, Q );input RSTB, CLK, IN;output [3:0] Q;reg [3:0] Q;always @( posedge CLK or negedge RSTB )Q <= ( !RSTB )? 0: {Q,IN};endmodule并入串出移位寄存器module PIN_SOUT_SHIFT ( LOAD, IN, CLK, Q );input LOAD, CLK;input [3:0] IN;output [3:0] Q;Q;reg [3:0]always @( posedge CLK or posedge LOAD )if ( LOAD )Q <= IN;elseQ <= Q << 1;endmodule带进位二进制计数器源程序:module cnt4e(clk,clr,ena,cout,q); input clk,clr,ena;output [3:0] q;output cout;reg [3:0]q;always @(posedge clr or posedge clk) beginif(clr) q='b0000;else if (ena) q=q+1;endassign cout=&q;endmodule。

EDA实验报告 触发器及应用及移位寄存器

EDA实验报告 触发器及应用及移位寄存器

EDA 实验报告实验目的:1.触发器的工作原理。

2.基本时序电路的VHDL 代码编写。

3.按键消抖电路应用。

4.定制LPM 原件。

5.VHDL 语言中元件例化的使用。

6.移位寄存器的工作原理及应用。

实验要求:1.运用LPM 原件定制DFF 触发器,并调用LPM 定制的DFF 触发器,用VHDL 语言的元件例化实现消抖电路并了解其工作原理。

2. 移位寄存器是用来寄存二进制数字信息且能进行信息移位的时序逻辑电路。

根据移位寄存器存取信息的方式不同可分为串入串出、串入并出、并入串出、并入并出4种形式,并通过数码管显示出来。

实验原理:1.消抖电路由于一般的脉冲按键与电平按键采用机械开关结构,其核心部件为弹性金属簧片。

按键信号在开关拨片与触点接触后经多次弹跳才会稳定。

本实验采用消抖电路消除抖动以获得一个稳定的电平信号。

2.移位寄存器移位寄存器具有左移、右移、并行输入数据、保持及异步清零5种功能。

其中A 、B 、C 、D 为并行输入端,A Q 、B Q 、C Q 、D Q 为并行输出端;SRSI 为右移串行输入端,SLSI 为左移串行输入端;S1、S0为模式控制端;CLRN 为异步清零端;CLK 为时钟脉冲输入端。

实验具体步骤:1.消抖电路(1).用lpm 定制DFF<1>.设置lpm_ff 选择Installed Plug-Ins →Storage →lpm_ff 项;<2>.设置输入data 为1位,clock 为时钟信号,类型为D 型;<3>.添加异步清零和异步置1;其VHDL 语言为:LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY lpm;USE lpm.all;ENTITY mydff ISPORT(clock : IN STD_LOGIC ;data : IN STD_LOGIC ;q : OUT STD_LOGIC);END mydff;ARCHITECTURE SYN OF mydff ISSIGNAL sub_wire0 : STD_LOGIC_VECTOR (0 DOWNTO 0);SIGNAL sub_wire1 : STD_LOGIC ;SIGNAL sub_wire2 : STD_LOGIC ;SIGNAL sub_wire3 : STD_LOGIC_VECTOR (0 DOWNTO 0);COMPONENT lpm_ffGENERIC (lpm_fftype : STRING;lpm_type : STRING;lpm_width : NA TURAL);PORT (clock : IN STD_LOGIC ;q : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);data : IN STD_LOGIC_VECTOR (0 DOWNTO 0) );END COMPONENT;BEGINsub_wire1 <= sub_wire0(0);q <= sub_wire1;sub_wire2 <= data;sub_wire3(0) <= sub_wire2;lpm_ff_component : lpm_ffGENERIC MAP (lpm_fftype => "DFF",lpm_type => "LPM_FF",lpm_width => 1)PORT MAP (clock => clock,data => sub_wire3,q => sub_wire0);END SYN;(2).VHDL结构式描述顶层--Top level entity xiaodoulibrary ieee;use ieee.std_logic_1164.all;entity xiaodou isport( d_in,clk:in std_logic;clk_out:out std_logic);end xiaodou;architecture xiaodou_arch of xiaodou is component mydff is --元件例化PORT(clock : IN STD_LOGIC ;data : IN STD_LOGIC ;q : OUT STD_LOGIC);END component;signal x,y:std_logic;begindff1:mydff port map(clock=>clk,data=>d_in,q=>x); dff2:mydff port map(clk,x,y);clk_out<=x and (not y);end xiaodou_arch;(3).功能仿真波形:2.移位寄存器(1).74194功能验证电路(2).74194功能仿真结果仿真分析:clrn=1,clk上升时,s为11,移位寄存器并行置数,此时abcd=1010,q_abcd=1010;clrn=0,移位寄存器进行清零,此时有q_abcd=0000;clrn=1,clk上升时,s为01,sl_sr为01,移位寄存器串行右移补1,输出q_abcd=1000;clrn=1,clk上升时,s为01,sl_sr为10,移位寄存器串行右移补0,输出q_abcd=0100;clrn=1,clk上升时,s为10,sl_sr为10,移位寄存器串行左移补1,输出q_abcd=1001;clrn=1,clk上升时,s为10,sl_sr为01,移位寄存器串行左移补0,输出q_abcd=0010。

数电实验之移位寄存器

数电实验之移位寄存器

数电实验之移位寄存器移位寄存器一实验目的1.学习用D触发器构成移位寄存器(环行计数器)2.掌握中规模集成电路双向移位寄存器逻辑功能及使用方法二实验原理1、用4个D触发器组成4位移位寄存器,将每位即各D触发器的输出Q1、Q2、Q3、Q4分别接到四个0—1指示器(LED)将最后一位输出Q4反馈接到第一位D触发器的输入端,则构成一简单的四位移位环行计数器。

2、移位寄存器具有移位功能,是指寄存器中所存的代码能够在时钟脉冲的作用下依次左移或右移。

对于即能左移又能右移的寄存器称为双向移位寄存器。

只需要改变左移、右移的控制信号便可实现双向移位的要求。

根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。

本实验选用的4位双向移位寄存器,型号为74LS194A(或CD40194),两者功能相同,其引脚分布图如下图18.1所示:其中A、B、C、D为并行输入端,A为高位依次排列;QA、QB、QC、QD为并行输出端;SR为右移串行输入端;SL为左移串行输入端;S1、S0为操作模式控制端;CLR为异步清零端;低电平有效;CLK为CP时钟脉冲输入端。

74LS194A有5种工作模式:并行输入,右移(QD→QA),左移(QD←QA),保持和清零。

74LS194功能表如表18.1所示:表18.1三实验器件数字实验箱集成电路芯片:74LS74×2 (CD4013×2);74LS75 ;74LS76 ;74LS194A(CD40194)。

图18.1四实验内容1.用74LS74组成移位寄存器,使第一个输出端点亮LED并使其右移循环。

顺序是FF1、FF2、FF3、FF4。

A) 1. 用两个74LS74按图18.2连接:图18.21. CP时钟输入先不接到电路中(单步脉冲源或连续脉冲源);1. 连接线路完毕,检查无误后加+5V电源;2. 观察4个输出端的LED应该是不亮的,如果有亮的话,应按清零端的逻辑开关,(给出一个低电平信号清零后,再将开关置于高电平)即将4个D触发器输出端的LED清零。

数字电路实验报告-移位寄存器及其应用

数字电路实验报告-移位寄存器及其应用

电学实验报告模板实验原理移位寄存器是逻辑电路中的一种重要逻辑部件,它能存储数据,还可以用来实现数据的串行-并行转换、数据的运算和处理。

1.寄存器(1)D触发器图1 D触发器图1所示D触发器。

每来一个CLK脉冲,触发器都在该CLK脉冲的上升沿时刻,接收输入数据D,使之作为触发器的新状态。

D触发器的特性方程为(2)用D触发器构成并行寄存器图2 用D触发器构成并行寄存器图2所示为用D触发器构成四位并行寄存器。

为异步清零控制端,高电平有效。

当时,各触发器输出端Q的状态,取决于CLK上升沿时刻的D端状态。

2.移位寄存器(1)用D触发器构成移位寄存器图3 用D触发器构成4位串行移位寄存器图3所示为用D触发器构成的4位串行移位寄存器。

其中左边第一个触发器的输入端接收输入数据,其余的每一个触发器的输入端均与左边相邻的触发器的Q端连接。

当时钟信号CLK的上升沿时刻,各触发器同时接收输入数据。

四位寄存器的所存数据右移一位。

(2)双向移位寄存器74LS194图4 双向移位寄存器74LS194逻辑框图图4 所示为集成电路芯片双向移位寄存器74LS194逻辑框图。

为便于扩展逻辑功能,在基本移位寄存器的基础上增加了左右移控制、并行输入、保持和异步清零等功能。

74LS194的逻辑功能如表1所列。

表13.用移位寄存器构成计数器(1)环形计数器图5 环形计数器如果将移位寄存器的串行移位输出端接回到串行移位输入端,如图5所示。

那么,在时钟CLK的作用下,寄存器里的数据将不断循环右移。

例如,电路的初始状态为,则电路的状态转换图如图6所示。

可以认为,这是一个模4计数器。

图6 环形计数器状态转换图实验内容及步骤1. 用两片74LS74构成四位移位寄存器(1)74LS74引脚图图10 74LS74引脚图(2)用74LS74构成四位移位寄存器图11 用74LS74构成四位移位寄存器实验电路按照图11连接电路。

首先设置,使寄存器清零。

然后,设置,在CLK输入端输入单次脉冲信号当作时钟信号,通过输出端的发光二极管观察的状态,判断移位的效果。

d触发器实验报告

d触发器实验报告

d触发器实验报告D 触发器实验报告一、实验目的本次实验的主要目的是深入理解 D 触发器的工作原理,掌握其逻辑功能和特性,并通过实际操作和测试,学会使用相关仪器设备进行电路搭建和性能分析。

二、实验原理1、 D 触发器的定义与逻辑符号D 触发器是一种具有存储功能的数字电路元件,它能够在时钟脉冲的上升沿或下降沿将输入的数据(D 端)锁存到输出端(Q 端)。

其逻辑符号通常包括数据输入端(D)、时钟输入端(CLK)、输出端(Q 和\(\overline{Q}\))以及置位端(SET)和复位端(RESET)。

2、工作原理当时钟脉冲为低电平时,D 触发器保持原来的状态不变。

当时钟脉冲上升沿到来时,如果 D 端为高电平,则 Q 端输出高电平;如果 D 端为低电平,则 Q 端输出低电平。

3、特性方程\(Q^{n + 1} = D\)(在时钟上升沿时)三、实验仪器与设备1、数字电路实验箱提供电源、逻辑电平输入和输出接口,以及各种数字芯片的插槽。

2、示波器用于观察时钟脉冲和输出信号的波形,以分析电路的工作情况。

3、数字万用表用于测量电路中的电压、电流等参数,检查电路的连接是否正常。

4、 74LS74 双 D 触发器芯片本次实验所使用的核心芯片,具有两个独立的 D 触发器。

四、实验内容及步骤1、电路搭建按照实验原理图,在数字电路实验箱上插入 74LS74 芯片,并使用导线将其与电源、地、时钟脉冲源以及逻辑电平输入和输出端连接起来。

确保电路连接正确无误,避免短路和断路现象。

2、功能测试(1)将 D 端分别接高电平和低电平,观察在时钟脉冲上升沿作用下,Q 端输出的变化情况。

(2)使用示波器同时观察时钟脉冲和 Q 端输出的波形,验证 D 触发器的工作特性。

3、置位和复位功能测试(1)通过置位端(SET)和复位端(RESET)将 D 触发器强制置为高电平或低电平,观察 Q 端的输出状态。

(2)在置位或复位操作后,再次改变 D 端的输入电平,观察在时钟脉冲作用下 Q 端的输出是否受到影响。

位移寄存器实验报告总结

位移寄存器实验报告总结

一、实验目的本次实验旨在让学生掌握位移寄存器的基本原理,熟悉其结构、工作方式及功能,并学会利用位移寄存器实现数据串行与并行的相互转换。

通过实验,使学生深入了解数字电路在实际应用中的重要作用,提高动手实践能力。

二、实验原理1. 位移寄存器的基本原理位移寄存器是一种具有移位功能的寄存器,它可以在时钟脉冲的作用下,将寄存器中的数据依次左移或右移。

在实验中,我们采用D触发器作为基本单元,构成一个4位双向移位寄存器。

2. 位移寄存器的结构实验中使用的4位双向移位寄存器由4个D触发器组成,其逻辑符号及引脚排列如下:- D3、D2、D1、D0:并行输入端,用于输入数据;- Q3、Q2、Q1、Q0:并行输出端,用于输出数据;- SR:右移串行输入端,用于输入右移数据;- SL:左移串行输入端,用于输入左移数据;- S1、S0:操作模式控制端,用于选择寄存器的操作模式;- CR:直接无条件清零端,用于清零寄存器;- CP:时钟脉冲输入端,用于产生时钟信号。

3. 位移寄存器的功能74LS194或CC40194型4位双向移位寄存器具有以下5种操作模式:(1)并行送数寄存:将并行数据同时送入寄存器;(2)右移:将寄存器中的数据向右移动,SR端输入数据;(3)左移:将寄存器中的数据向左移动,SL端输入数据;(4)保持:保持寄存器中的数据不变;(5)清零:将寄存器中的数据清零。

三、实验内容与步骤1. 实验内容(1)搭建4位双向移位寄存器实验电路;(2)观察并记录寄存器在不同操作模式下的输出;(3)实现数据串行与并行的相互转换;(4)分析实验结果,验证实验原理。

2. 实验步骤(1)根据实验电路图,连接实验板上的各个元件;(2)将实验板接入电源,观察电路工作状态;(3)设置操作模式控制端S1、S0,选择所需的操作模式;(4)输入并行数据或串行数据,观察寄存器输出;(5)调整输入数据,观察寄存器在不同操作模式下的输出;(6)记录实验数据,分析实验结果。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

D触发器与移位寄存器的逻辑功能及测试
实验原理
RS触发器及其电路结构
把两个与非门的输入输出交叉连接即可构成基本RS触发器。 约束条件:不允许 SD= RD=0.
注:正是由于引入反馈,才使电路具有记忆功能。
D触发器与移位寄存器的逻辑功能及测试
实验原理
RS触发器工作原理
不允许
1Q
Q1
1Q
&
&
&
00
SD
RD
1D C1
D CP
CP D Qn+1 说明 无跳变 X Qn 保持
0 0 存数 11
D触发器与移位寄存器的逻辑功能及测试
实验原理
Q
Q
SD
RD
CP
1D C1
t
D CP
D
动作特点:触发器保存下来的
0
t
状态是CP 作用沿到达时刻的 输入状态。 特别注意:当 D 端信号和 CP 作用沿同时跳变时,触发器存
实验三 D触发器与移位寄存器的功能及测试
下次实验:书实验十 计数器的设计
下面进入实验环节
RS触发器逻辑符号
与非门构成:
QQ
0 触发有效,
S
R SD 端是置1端
RD 端是清0端
SD RD
特性表 Sd Rd Qn+1 说 明 0 0 1* 不允许 0 1 1 置1 1 0 0 清0 1 1 Qn 保 持
D触发器与移位寄存器的逻辑功能及测试
实验原理
D触发器及其电路结构
Qn+1= D
Q
Q
SD
Q
0
设初态Q=0
t
入的是 D 跳变前的状态。
D触发器与移位寄存器的逻辑功能及测试
实验原理
移位寄存器
移位寄存器是一种具有移位功能的寄存器, 是指寄存器中所存的代码能够在移位脉冲的作 用下依次左移或右移。既能左移又能右移的移 位寄存器称为双向移位寄存器,只需要改变左 、右移的控制信号便可实现双向移位。
RD
SD
置1
Q0
& 1
RD
0Q
& 1
SD
清0
Q1
& 0
RD
原态 保持
0Q
Q1
&
11 SD
&
01 RD
原态 1Q
& 10
SD
保持
3、特性表 Sd Rd Qn+1 说 明
Q 0 0 0 1* 不允许
&
11 RD
01 10 11
1 置1 0 清0 Qn 保 持
D触发器与移位寄存器的逻辑功能及测试
实验原理
数字逻辑与数字系统实验
实验三:D触发器与移位寄存器的功能测试
实验目的
❖ 学习D触发器和移位寄存器的工作原理; ❖ 掌握D触发器和移位寄存器的功能的测试方法。
D触发器与移位寄存器的逻辑功能及测试
实验原理
什么是触发器
数字电路分为组合逻辑电路和时序逻辑电路两大类 组合逻辑电路的基本单元是基本门; 时序逻辑电路的基本单元是触发器。 触发器的必备特点 ①具有两个能自行保持的稳态(1态或0态); ②外加触发信号时,电路的输出状态可以翻转; ③在触发信号消失后,能将获得的新态保存下来。 触发器的分类 按电路结构分: ①基本触发器、②同步触发器、③主从触发器、④边沿触发器 按逻辑功能分: ①RS触发器、 ② JK触发器、 ③ T触发器、④ D触发器
1 1 0101
1 1 0101
1 1 0101
1 1 0101
1 1 0101
时钟 CLOCK
X ↑ ↑ ↑ ↑
输出
QAQBQCQD
功能 描述
D触发器与移位寄存器的逻辑功能及测试
实验内容
思考题
• 用74LS194构成一个左循环移位寄存器,画出电路图并验证之; • 用74LS194构成一个右循环移位寄存器,画出电路图并验证之;
实验内容
2.测试移位寄存器模块74194的逻辑功能
(1)新建原理图文件Test_74194.bdf;如下图
D触发器与移位寄存器的逻辑功能及测试
实验内容
(2)编译;建立波形文 件;仿真;填表。
操 作
复位 CLR
10
21
31
41
51
控制 S1S0 XX
10 11 01 00
输入
串入 SL SR
ABCD
21
10
10 11
0101
↑ {QBQCQD (SL) }(n) 左移
31
01
01 11
0101

{(SR)QAQBQC}(n) 右移
4 1 11 X X 0 1 0 1

ABCD
置数
5 1 00 X X 0 1 0 1

{QAQBQCQD}(n) 保持
D触发器与移位寄存器的逻辑功能及测试
实验内容
实验原理
74LS194的使用方法
• 74LS194有5种不同操作模式:清零、左移、右移、置数以 及保持。S1、S0和CR 端的控制作用如下表所示。
操 作
复位 CLR
控制 S1S0输入 Nhomakorabea串入 SL SR
DCBA
时钟 CLOCK
输出
QAQBQCQD
1 0 XX X X 0 1 0 1
X
0000
功能 描述
清零
1.测试D触发器模块的逻辑功能
(1)新建项目或打开项目 --*.qpf
(2)新建原理图文件--*.bdf
(3)编译;建仿真波形文件--*.vwf; 将所有的输入输出点加到仿真文 件中,D、PR、CLR、CLK的设 定值见下表:
(4)分配管脚:为 输入输出信号 分析管脚,编 译,下载。
Qn+1= D
D触发器与移位寄存器的逻辑功能及测试
D触发器与移位寄存器的逻辑功能及测试
实验原理
实验原理-74LS194芯片介绍
• A、B、C、D为并行输入端;QA、QB、 QC、QD为并行输出端;SR为右移串行 输入端,SL为左移串行输入端;
• S1、S0为操作模式控制端; • CR为异步清零端; • CP为时钟脉冲输入端。
D触发器与移位寄存器的逻辑功能及测试
相关文档
最新文档