四人表决器
四人表决器的设计(教案)
四人表决器的设计教学目标:1. 了解四人表决器的基本原理和功能。
2. 学习数字电路的基本组成和设计方法。
3. 培养学生的创新能力和团队合作精神。
教学准备:1. 教师准备四人表决器的原理图和实际电路图。
2. 准备相关数字电路的知识和概念。
3. 准备团队合作和项目管理的相关知识。
教学内容:第一章:四人表决器的基本原理1.1 人表决器的定义和功能1.2 数字电路的基本组成1.3 四人表决器的电路图和原理第二章:四人表决器的电路设计2.1 输入电路的设计2.2 逻辑电路的设计2.3 输出电路的设计第三章:四人表决器的仿真与验证3.1 使用仿真工具进行电路仿真3.2 验证电路的功能和性能3.3 分析仿真结果和实际电路的差异第四章:四人表决器的制作与测试4.1 制作四人表决器的电路板4.2 焊接电路板和元件4.3 对四人表决器进行实际测试第五章:团队合作与项目管理的实践5.1 团队组建和角色分配5.2 项目计划和进度管理5.3 项目报告和成果展示教学方法:1. 采用讲授法和案例分析法,讲解四人表决器的原理和设计方法。
2. 使用仿真工具进行实践操作,培养学生的实际操作能力。
3. 鼓励学生进行团队合作和项目管理,培养学生的团队协作能力。
教学评估:1. 对学生的课堂表现和作业进行评估。
2. 对学生的实际操作能力和仿真结果进行评估。
3. 对学生的团队合作和项目管理能力进行评估。
教学反思:本教案通过讲解四人表决器的原理和设计方法,培养学生的数字电路知识和创新能力。
通过团队合作和项目管理的实践,培养学生的团队协作和项目管理能力。
在教学过程中,要注意引导学生积极参与课堂讨论和实际操作,提高学生的学习兴趣和动力。
教师要关注学生的学习进度和困难,及时进行指导和帮助。
第六章:四人表决器的设计规范6.1 设计规范的定义和作用6.2 四人表决器的设计规范要求6.3 设计规范的制定与实施第七章:数字电路设计工具的使用7.1 常用数字电路设计工具的介绍7.2 电路图绘制软件的使用方法7.3 仿真工具的使用方法与技巧第八章:四人表决器的优化与改进8.1 优化设计的意义与方法8.2 四人表决器电路的性能分析8.3 电路优化与改进的实施步骤第九章:四人表决器的应用与拓展9.1 四人表决器在实际场景中的应用9.2 四人表决器的设计变种9.3 四人表决器的市场前景与挑战10.2 学生作品的展示与评价10.3 未来数字电路设计的趋势与展望教学方法:1. 采用案例分析和讨论的方式,让学生理解并掌握四人表决器的设计规范。
四人表决器
2.1电路设计框图
图2-1电路结构
2.2功能描述
接通电源之后,每个人根据面前的选择按钮可选赞成或反对,经过表决处理之后,多数人选通过则结果显示通过。
3电路原理设计及参数计算
3.1表达式
Y=ABCD+ABCD’+ABC’D+AB’CD+A’BCD
= Am3+A52+Am6+Am7+A’m7
2、要求设计一个四人表决器,按少数服从多数规则,利用红白两种颜色灯代表是否通过;用门电路或中规模集成电路译码器或数据选择器完成控制任务;有研究方案比较,能够应用相关仿真软件绘制逻辑图。
成果形式:提交实现方案的逻辑图和结果仿真。
三、设计计划
项目综合训练课下1周,课上1节。
第1天:选题,查资料;
第2天:方案分析比较,确定设计方案;
于是我就开始使用multisim模拟电路操作用的是英文版有好多东西都不知道那是什么一边学习这个软件的操作一边连接电路第一次连接好了之后发现led灯不亮我开始检查哪里电路发生错误了由于平时纸上画的电路图都是局部的没有考虑到led灯的使用后来查了资料才知道led灯正负极都要连接而我只连接了正极
项目训练课程:数字逻辑
6参考文献8
综述
随着如今各种比赛,选举,海选等活动的日益增多,表决器的应用也越来越广泛,简单好用的表决器能够得到使用者与相关商家的喜欢与追捧。表决器是投票系统中的客户端,是一种代表投票或是举手表决的装置。表决时,投票者只需要按照各自表决器上的“赞成”、“反对”、“弃权”的某一按钮,就可以表示自己表决的结果。目前表决器可分为有线表决器和无线表决器,其中有线表决器的使用已经比较少了,而无线表决器无需安装、方便等特性招人喜爱。
四人表决器[技巧]
实验二组合逻辑电路分析与测试一、实验目的1.掌握组合逻辑电路的分析方法。
2.验证半加器和全加器电路的逻辑功能。
3.了解两个二进制数求和运算的规律。
4.学会数字电子线路故障检测的一般方法。
二、实验原理1.分析逻辑电路的方法:根据逻辑电路图---写出逻辑表达式---化简逻辑表达式(公式法、卡诺图法)---画出逻辑真值表---分析得出逻辑电路解决的实际问题(逻辑功能)。
2.实验线路(1)用与非门组成的半加器,如图4-4-1所示。
图4-4-1(2)用异或门组成的半加器,如图4-4-2所示。
(3)用与非门、与或非门和异或门组成的全加器,如图4-4-3所示:3.集成块管脚排列图见附录三、实验仪器及器材1.数字实验箱 2.集成块74LS003.集成块74LS54 4.集成块74LS865.万用表 6.+5V 直流电源图四、实验内容及步骤1.检查所用集成块的好坏。
2.测试用与非门组成的半加器的逻辑功能。
(1)按图4-4-1接线,先写出其逻辑表达式,然后将输入端A 、B 接在实验箱逻辑控制开关插孔,X 1、X 2、X 3、S n 、C n 分别接在电平显示插孔接好线后,进行测试。
(2)改变输入端A 、B 的逻辑状态,观察各点相应的逻辑状态,将结果填入表4-4-1中,测试完毕,切断电源,分析输出端逻辑状态是否正确。
表4-4-13.测试用异或门和与非门组成的半加器的逻辑功能(1)按图4-4-2接线,将输入端A 、B 分别接在逻辑控制开关插孔,C n 、S n 分别接在电平显示插孔,接好线后进行测试。
(2)改变输入端A n 、B n 的逻辑状态,观察S n 和C n 的显示状态,并将测试结果填入表4-4-2中,并分析结果正确与否。
若输出有误,分析其原因并查找故障点。
4.测试用与非门、与或非门组成的全加器的逻辑功能。
(1)按图4-4-3接线,输入端A n 、B n 、C n-1分别接逻辑控制开关插孔, S n 、C n 分别接电平显示插孔,接好线后进行测试。
4人表决器课程设计
4 人表决器课程设计一、课程目标知识目标:1. 学生能理解4人表决器的原理和功能,掌握其电路组成及工作流程。
2. 学生能运用所学知识,设计并搭建简单的4人表决器电路。
3. 学生了解数字电路基础知识,掌握基本的逻辑门及其功能。
技能目标:1. 学生能运用所学知识,分析并解决4人表决器电路中可能出现的问题。
2. 学生能够熟练使用实验器材,进行电路搭建和调试。
3. 学生能通过小组合作,提高沟通协调能力和团队协作能力。
情感态度价值观目标:1. 学生对电子技术产生兴趣,培养探索精神和创新意识。
2. 学生认识到团队合作的重要性,树立团队协作的价值观。
3. 学生在实践过程中,体验成功的喜悦,增强自信心。
课程性质:本课程为电子技术实践课程,旨在让学生通过动手实践,掌握4人表决器的基本原理和搭建方法。
学生特点:五年级学生具备一定的逻辑思维能力和动手能力,对新鲜事物充满好奇心,但需引导激发学习兴趣。
教学要求:注重理论与实践相结合,以学生为主体,引导他们主动探究、合作学习,培养实际操作能力和解决问题的能力。
将课程目标分解为具体的学习成果,便于教学设计和评估。
1. 数字电路基础知识:逻辑门的概念、种类及功能,重点讲解与门、或门和非门的工作原理。
2. 4人表决器原理:介绍4人表决器的功能,分析其电路原理,探讨如何实现多数人意见的表决结果。
3. 实践操作:指导学生使用面包板、逻辑门集成电路、开关、LED灯等实验器材,搭建4人表决器电路。
4. 教学案例:结合教材相关章节,分析实际生活中的表决器应用,如电梯控制系统、交通信号灯等。
5. 故障排查与调试:教授学生如何分析并解决电路搭建过程中可能出现的故障,提高动手解决问题的能力。
6. 小组合作:组织学生进行小组讨论和实践,培养团队合作精神,提高沟通协调能力。
教学内容安排和进度:第一课时:数字电路基础知识,介绍逻辑门及其功能。
第二课时:4人表决器原理,分析电路工作原理。
第三课时:实践操作,指导学生搭建4人表决器电路。
4人表决器课程设计
4人表决器课程设计一、课程目标知识目标:1. 学生能理解4人表决器的原理与功能,掌握其电路组成和逻辑设计。
2. 学生能运用所学知识,分析并解释4人表决器在实际应用中的工作过程。
3. 学生了解数字电路基础知识,掌握基本的逻辑门电路及其应用。
技能目标:1. 学生能够独立完成4人表决器的电路搭建,进行实际操作。
2. 学生能够运用所学知识解决简单的数字电路问题,具备一定的故障排查能力。
3. 学生能够通过团队合作,共同完成项目任务,提高沟通与协作能力。
情感态度价值观目标:1. 学生培养对电子技术的兴趣,增强学习动力,树立科技创新意识。
2. 学生在学习过程中,培养耐心、细心和严谨的科学态度。
3. 学生通过团队合作,学会互相尊重、关心他人,培养团队精神和社会责任感。
课程性质:本课程属于电子技术实践课程,以项目为导向,注重培养学生的动手能力和实际问题解决能力。
学生特点:学生为初中生,具备一定的物理知识和动手能力,对新鲜事物充满好奇心。
教学要求:教师需引导学生自主学习,鼓励学生提问、思考、实践,关注学生的学习过程和情感态度,提高学生的综合素养。
通过课程目标的分解与实现,使学生在知识、技能和情感态度价值观方面取得全面发展。
二、教学内容本课程依据课程目标,结合教材内容,主要包括以下方面:1. 数字电路基础知识:逻辑门电路原理、逻辑函数及其表达方法、基本逻辑门电路(与门、或门、非门等)的应用。
2. 4人表决器原理与设计:- 4人表决器的功能与作用- 4人表决器的电路组成- 逻辑表达式推导及简化- 4人表决器电路图的绘制3. 实践操作:- 4人表决器电路搭建与调试- 故障排查与问题解决- 团队合作与沟通协调教学大纲安排如下:第一课时:数字电路基础知识复习,介绍4人表决器原理及功能。
第二课时:推导4人表决器的逻辑表达式,学习电路图的绘制。
第三课时:分组讨论,制定电路搭建方案。
第四课时:实践操作,搭建4人表决器电路,进行调试。
四人表决器电路设计
四人表决器电路设计名称:综合培训项目1题目:四人投票的电路设计职业:等级:姓氏,名字:号码:辽宁工业大学数字电子技术综合培训项目1绩效评估表评价、校准、标准、评价指标、设计内容、标准、思路清晰、方案合理、格式正确、顺序正确、内容合理、内容完整、语言流畅、图表清晰、描述清晰、评价评分正确、11、11、14分、评分、设计报告、答疑和辩论、总评分日期、XXXX“综合培训项目1”任务书一、综合培训主题四人投票器的电路设计二。
目的和要求1.目的:利用不同类型的门电路或中小规模集成电路设计简单的组合电路,学习仿真软件的应用,学习如何制作word文档。
2.要求:设计一个四人投票机。
根据少数服从多数的规则,如果三个或更多的人同意,他们就会通过。
绿灯和红灯用来指示是否通过,数码管用来显示同意的人数。
门电路或中型集成电路解码器、数字选择器和加法器用于完成控制任务。
与其他研究方案相比,它可以用相关仿真软件绘制逻辑图,用仿真软件验证电路功能。
结果表:每个小组提交一份综合培训报告;现场或视频防御;有能力的学生创造现实。
提交时间:第四章组合电路设计知识点讲授后一周内提交。
三。
培训计划项目综合培训班将持续一周,并持续一节课。
第一天:检查所选主题的材料,确定总体设计方案;第2 ~ 3天:学习Multisim仿真软件,熟悉Visio绘图软件。
第4 ~ 5天:演示电路设计,用仿真软件模拟电路设计,观察是否满足设计要求;;第6 ~ 7天:按照格式要求准备和整理设计报告。
四.设计要求1.每个学生根据分配给他的任务完成训练。
2.制图统一采用年和月。
天摘要四人投票机在我们的生活中被广泛使用,比如投票。
掌握四人投票机的工作原理对我们理解和掌握投票机具有重要意义。
本课程设计是利用数字电子技术知识制作一台四人投票机。
在mulitisim软件中,集成电路用于将四个74LS183和一个74LS48芯片连接到发光二极管数码管,其中一个显示赞成的人数。
EDA实验报告含结果图
EDA电子课程实验报告专业:班级:姓名:学号:实验一四人表决器一实验目的1、熟悉Quartus II软件的使用。
2、熟悉EDA-IV实验箱。
3、熟悉EDA开发的基本流程。
二硬件需求1、RC-EDA-IV型实验箱一台;2、RC-EDA-IV型实验箱配套USB-Blaster下载器一个;3、PC机一台。
三实验原理所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。
四人表决器顾名思义就是由四个人来投票,当同意的票数大于或者等于3人时,则认为同意;反之,当否决的票数大于或者等于2人时,则认为不同意。
实验中用4个拨挡开关来表示4个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。
表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。
四实验内容VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;--------------------------------------------------------------------entity EXP3 isport(k1,K2,K3,K4 : in std_logic;ledag : out std_logic_vector(3 downto 0);m_Result : out std_logic);end EXP3;--------------------------------------------------------------------architecture behave of EXP3 issignal K_Num : std_logic_vector(2 downto 0); signal K1_Num,K2_Num: std_logic_vector(2 downto 0); signal K3_Num,K4_Num: std_logic_vector(2 downto 0);beginprocess(K1,K2,K3,K4)beginK1_Num<='0'&'0'&K1;K2_Num<='0'&'0'&K2;K3_Num<='0'&'0'&K3;K4_Num<='0'&'0'&K4;end process;process(K1_Num,K2_Num,K3_Num,K4_Num,)beginK_Num<=K1_Num+K2_Num+K3_Num+K4_Num;end process;process(K_Num) beginif(K_Num>2) thenm_Result<='1';elsem_Result<='0';end if;end process;end behave;实验电路实验二格雷码转换一实验目的1、了解格雷码变换的原理。
四人表决器
摘要本次设计的四人表决器,是投票系统中的客户端,是一种代表投票或举手表决的表决装置。
表决时,与会议有关的人员只要按动各自表决器上“赞成”“反对”“弃权”的某一个按钮,荧光屏上即显示出表决结果。
在四人表决器中四个人分别用手指拨动开关SW1,SW2,SW3,SW4来表决自己的意愿,如果对某决议不同意,个人就把自己的指拨开关拨到高电平(上方),同意就把指拨开关拨到低电平(下方)。
有多少个人同意就有多少个LED灯亮;表决结果用数码管显示,如果决议通过那么数码管显示P;如果决议不通过那么数码管显示N。
关键词:指拨开关、数码显示器、LED第一章 方案设计首先根据设计任务的要求建立输入、输出变量、并列出真值表、然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式,并按实际选用逻辑门的类型修改逻辑表达式,根据简化后的逻辑表达式,用标准器件构建逻辑电路,最后用实验验证设计的准确性。
基本功能:实现四人表决器的基本功能,少数服从多数。
拓展功能:1、当有人同意表决时,相应的LED 灯亮。
2、当有三到四人同意时,数码管显示P ,表决通过。
否则数码管显示N 。
图1 方案设计流程图拨动开关输入表决器LED 灯数码管 蜂鸣器第二章 电路设计及工作原理分析2.1 逻辑变量赋值设四人的意见为变量A 、B 、C 、D ,表决结果为函数Y 。
对于变量A 、B 、C 、D 设同意为“1”;不同意为“0”。
对于函数Y ,设通过为“1”;不通过为“0”。
2.2 真值表表2-1 四人表决器真值表由表2-1得: ABCD D C AB CD B A BCD A Y +++=A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 01 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 0 1 111112.3 卡诺图CDAB 00 01 11 1000011110图2-1四人表决器卡诺图由图3-2得:)15,14,13,11,7(m ABC ACD BCD ABD Y ∑=+++=或2.4 逻辑电路图图2-2 四人表决器逻辑电路图0 0 0 0 0 0 1 0 0 1 1 1 01第三章电路仿真本设计中采用的是Proteus7.5仿真软件。
四人表决器电路设计资料
四人表决器电路设计资料名称:综合训练项目一题目:四人表决器电路设计专业:班级:姓名:学号:辽宁工程技术大学《数字电子技术》综合训练项目一成绩评定表《综合训练项目一》任务书一、综合训练题目四人表决器电路设计二、目的和要求1、目的:会运用不同类型门电路或中、小规模集成电路,设计简单组合电路,学习仿真软件应用,学习word文档制作。
2、要求:设计一个四人表决器,按少数服从多数规则,三人或三人以上同意,则通过。
利用绿、红两种颜色灯代表是否通过,并用数码管显示同意人数;用门电路或中规模集成电路译码器、数选器、加法器等完成控制任务;有研究方案比较,能够应用相关仿真软件绘制逻辑图,用仿真软件验证电路功能。
成果形式:每小组提交综合训练报告一份;现场或视频答辩;有能力的同学制作实物。
上交时间:在讲授完第四章中的组合电路设计知识点后的一周之内提交。
三、训练计划项目综合训练课下1周,课上1节。
第1天:针对选题查资料,确定整体设计方案;第2~3天:学习Multisim仿真软件,熟悉Visio绘图软件。
第4~5天:论证电路设计,利用仿真软件仿真设计电路,观察能否达到设计要求;;第6~7天:按格式要求编写整理设计报告。
四、设计要求1. 每名同学按照自己分配的任务要求完成训练。
2. 绘图统一采用Visio2010。
指导教师:日期:2017 年月日四人表决器在我们生活中应用非常广泛,比如表决等。
掌握四人表决器的工作原理,对我们理解和掌握表决器具有重要意义。
本次的课程设计就是利用数字电子技术的知识做一个四人表决器。
在mulitisim软件中,利用集成电路,通过四片74LS183和一片74LS48芯片连接到LED数码管上,一个显示赞成人数;再通过与门和非门,实现通过与否的判决。
经过仿真,符合四人表决的功能。
关键词:四人表决器;74LS183;74LS84;LED数码管综述 (1)1 方案设计与分析 (2)2 电路设计框图及功能描述 (2)3 电路设计及其原理 (3)3.1 门电路的组合 (3)3.2 累加器 (3)3.3 译码部份 (4)3.4总电路图的设计 (4)4 仿真结果 (5)5 测试结果分析 (7)设计体会 (8)随着信息化的发展和人们生活节奏的提高,为了提高工作效率,方便的显示表决的结果,表决器发挥的作用越来越大。
数字电路实训报告(四人表决电路、四人抢答电路)
广东交通职业技术学院数电实训报告指导老师:丘SQ、陈QR姓名:GDCP 班级:信息122 学号:一、实训目的数字电路实训的目的是使学生通过制作及创新设计数字应用系统,巩固和加深在“数字电子技术”课程中所学的理论知识和实践技能,基本掌握数字单元电路或部件的应用方法。
实践证明,经过此实践性环节的训练,对学生毕业后从事电子技术方面的工作有很大帮助。
简介:这次实训主要包括数显抢答器制作、四人表决电路、数显抢答器创新设计1(五路抢答器)、数显抢答器设计2(分屏显示)等内容二、实验原理组合逻辑单元电路或部件的功能1、门电路与门的功能:实现与逻辑关系;或门的功能:实现或逻辑关系;非门的功能:实现非逻辑关系。
2、编码器与译码器编码器的功能:将具有特定含义的信息编成相应二进制代码输出,常用的有二进制编码器、二-十进制编码器和优先编码器。
译码器的功能:将表示特定意义信息的二进制代码翻译出来,常用的有二进制译码器、二-十进制译码器和数码显示译码器。
3、数据选择器与数据分配器数据选择器的功能:根据地址码的要求,从多路输入信号中选择其中一路输出。
数据分配器的功能:根据地址码的要求,将一路数据分配到指定输出通道上去。
4、触发器CD4013双D触发器的引脚图:Q Q14 13 12 11 10 9 8V D D Q2 Q2 C P2 R2 D2 S2CD4013Q1Q1C P1 R1D1 S1 V S S1 2 3 4 5 6 7 S CP D R集成触发器:74LS175×116 15 14 13 12 11 10 9 V C C 4Q 4Q 4D 3D 3Q 3Q C P 74LS175C R 1Q 1Q 1D 2D 2Q 2Q G N D 1 2 3 4 5 6 7 85、按四人表决电路逻辑图,在数字电路实验箱上,用3片CC4012接成四人表决的实际电路。
三、实验电路图ABC DF四人表决电路逻辑图& & && &IN4148IN4148 IN4148 IN4148 2K Ω2K Ω2K Ω2K Ω2K Ω2K Ω2K ΩSB4SB3SB2SB1S1 Q1 D1 CP1R1 Q1S2 Q2 D2 CP2R2 Q2S1 Q1 D1 CP1R1 Q1IC 2A CD4013IC 1B CD4013IC 1A CD4013S2 Q2 D2 CP2R2 Q2IC 2B CD4013≥1IC 3A CD4072 1IC 4A CD4069A 译B 码C 显D 示+5V SB四路数显抢答器原理图IN4148 IN4148 IN4148 IN4148 2K Ω2K Ω2K Ω2K Ω2K ΩSB4SB3SB2SB1S1 Q1 D1 CP1R1 Q1S2 Q2 D2 CP2R2 Q2S1 Q1D1 CP1R1 Q1IC 2A CD4013IC 1B CD4013IC 1A CD4013S2 Q2 D2 CP2R2 Q2IC 2B CD4013≥1 IC 3A CD4072 1IC 4A CD4069A 译B 码C 显D 示+5V SB五路数显抢答器原理图S1 Q1D1 CP1R1 Q1SB 52K Ω1IC 4A CD4069≥1IN4148IN4148 IN4148 IN4148 2K Ω2K Ω2K Ω2K Ω2K Ω2K Ω2K ΩSB4SB3SB2SB1S1 Q1 D1 CP1R1 Q1S2 Q2 D2 CP2R2 Q2S1 Q1 D1 CP1R1 Q1IC 2A CD4013IC 1B CD4013IC 1A CD4013S2 Q2 D2 CP2R2 Q2IC 2B CD4013≥1IC 3A CD4072 1IC 4A CD4069A 译B 码C 显D 示+5V SB四路分屏显示抢答器原理图A 译B 码C 显D 示A 译B 码C 显D 示A 译B 码C 显D 示工作原理:数字抢答器:每个参赛者控制一个按钮,按动按钮抢答;当有一人按下按钮时,译码器显示该人的编号,其他人的按钮将被锁定无法对电路起作用。
四人表决器实验报告
四人表决器实验报告四人表决器实验报告引言:在现代社会,决策是一项非常重要的任务。
无论是在政府机构、企业组织还是家庭中,都需要通过一定的方式来进行决策。
而在许多情况下,决策的过程需要多个人共同参与,因此如何有效地进行集体决策成为一个关键问题。
本次实验旨在探讨四人表决器在集体决策中的应用。
实验目的:1. 了解四人表决器的原理和工作方式;2. 探究四人表决器在集体决策中的优缺点;3. 分析四人表决器在不同场景下的适用性。
实验方法:本次实验采用模拟的方式进行,将四人表决器应用于不同的决策场景中,通过观察和分析来得出结论。
实验过程:1. 场景一:家庭晚餐决策在这个场景中,四个家庭成员需要决定今晚吃什么晚餐。
每个人将根据自己的喜好进行投票,然后通过四人表决器进行统计和计算。
结果显示,A选择了中餐,B选择了西餐,C选择了日本料理,D选择了韩国烧烤。
经过计算,结果显示没有达到一致意见,因此需要继续讨论或采取其他方式进行决策。
2. 场景二:公司项目决策在这个场景中,四位部门经理需要决定公司下一季度的重点项目。
每个经理将根据自己的部门情况和市场需求进行投票,然后通过四人表决器进行统计和计算。
结果显示,A选择了市场拓展项目,B选择了产品研发项目,C选择了人员培训项目,D选择了成本控制项目。
经过计算,结果显示市场拓展项目得到了三票,成为了下一季度的重点项目。
3. 场景三:学生活动策划在这个场景中,四个学生代表需要决定学校下一次活动的主题。
每个代表将根据同学们的意见和自己的想法进行投票,然后通过四人表决器进行统计和计算。
结果显示,A选择了运动会,B选择了文化艺术展览,C选择了志愿者活动,D选择了户外拓展。
经过计算,结果显示文化艺术展览得到了两票,成为了下一次活动的主题。
实验结果与讨论:通过以上实验,我们可以得出以下结论:1. 四人表决器可以帮助集体决策的参与者快速统计和计算投票结果,提高决策效率;2. 四人表决器在需要快速决策的场景中具有一定的优势,可以减少讨论和争论的时间;3. 四人表决器在面对意见分歧较大的情况下可能无法得到一致结果,需要进一步讨论或采取其他决策方式。
采用二输入与非门制作四人表决器
四人表决器的设计一、实验目的1、了解和初步掌握EWB软件的基本操作方法以及练习做板。
2、通过实验,加深电路设计的概念以及了解计算机辅助设计分析的过程。
3、培养学生的创新能力以及理论知识的应用能力。
二、实验器材+5V直流电源,8脚拨码开关2个、100Ω电阻1个、10KΩ电阻4个、1K Ω电阻1个、74LS00 2个、发光二极管1个、万能板1个、导线若干等。
三、实验内容及步骤实验内容:本实验要求利用EWB软件完成对四人表决器的设计及仿真,表决规则是:多数胜少数负。
此次仿真应有四个输入端口,一个输出端口。
分别设置其A,B,C,D 为输入端口,Y为输出端口。
A,B,C,D全为‘1’时则全票通过;A,B,C为‘1’,D为0时Y为‘1’;A,B,D为‘1’,C为‘0’时Y为‘1’;A,C,D为‘1’,B 为‘0’时Y为‘0’;B,C,D为‘1’,A为‘0’时输出Y为‘1’;当A,B,C,D 全为‘1’时,则Y=‘1’。
实验步骤:1、分析可知其真值表如下所示:根据卡诺图可分析:无人中任意三人或者四人通过则表决可以通过,故得到其逻辑表达式为Y=ABCD’+ABC’D+AB’CD+A’ BCD+ ABCD=ABD+ACD+ABC+BCD=((AB+AC)D)+(B(AC+CD))=(((AB)’(AC)’)’D)+(B((AC)’(CD)’)’)=((((AB)’(AC)’)’D)’(B((AC)’(CD)’)’)’)’2、打开EWB软件,新建一个项目。
3、在新建立的项目的基础上新建一个原理图文件。
用软件绘制原理图如下所示:图2:四人表决器原理图用EWB软件进行模拟,使A,B,C,D开关分别按照真值表进行开关,观察发光二极管的发光情况。
模拟成功后再根据实验原理图连接实线,焊接的时候注意不要短路,根据实验要求控制逻辑开关,并记录发光二极管的发光情况,与理论的结果进行比较。
四、实验结果分析1、根据图2进行仿真模拟时发现当分别置A,B,C,D全为接通时则发光二极管处于发光状态;A,B,C接通,D为断开时发光二极管处于发光状态;A,B,D为接通,C为断开时Y为发光状态;A,C,D为接通,B为断开时Y为发光状态;B,C,D为接通,A为断开时输出Y为发光状态;当有2个或2个以上开关打开时,发光二极管熄灭。
四人表决器
实验一四人表决器的VHDL设计一、实验内容1.使用VHDL设计一个四人表决器;2.用Basys2开发板载的数码管来显示四人表决器的过程。
二、设计要求在Xilinx FPGA上实现四人表决器。
三、实验原理该计数器包括的模块有(1)分频模块(2)计数模块(3)设计需要产生两个周期的时钟信号,将Basys2开发板的50MHZ 转变成1s的时钟,让计数器在此周期下进行下一项操作,另外一个时钟,让数码管十位和个位不断地扫描选通。
四、实验步骤与方法1..建立工程文件1.单击”File”—”New Project”, 出现如下窗口2.确定工作路径和工程名,路径和工程名不能包含汉字3.选定顶层文件类型,选择Schematic。
2.工程参数设置File→New Project→输入工程文件名cx60_1→选择Family:Spartan 3E;Device:XC3S100E;Package:CP132;Preferred Language:HDL→Finish。
3.绘制原理图1.快捷键或者单击project-“new souce”,弹出Select Souce Type窗口,创建新的源文件。
2.在新文件的向导框里,选择源文件类型。
3.点击“next”,点击“finish”.原理图输入已完成。
开始仿真验证4.新建测试文件5.引脚约束6.开始仿真验证7.综合Implement design 实现环节generate programming file 生成比特文件8.下载程序六、注意事项1.路径和工程名中不能包含汉字,最好不以数字打头;2.编写程序时要注意需使用英文输入法;综合与实现时,如果出现warning,可继续进行。
四人表决器的设计(教案)
四人表决器的设计教学目标:1. 了解四人表决器的基本原理和功能;2. 学习数字电路的基本组成和设计方法;3. 培养学生的动手能力和团队协作精神。
教学内容:第一章:四人表决器简介1.1 四人表决器的定义和作用1.2 四人表决器的应用领域第二章:数字电路基础2.1 数字电路的基本概念2.2 逻辑门电路2.3 组合逻辑电路第三章:四人表决器的设计原理3.1 四人表决器的逻辑功能3.2 表决器电路的设计方法3.3 四人表决器的真值表和逻辑图第四章:四人表决器的仿真与实验4.1 仿真软件的选择和操作4.2 四人表决器电路的仿真过程4.3 实验操作步骤和注意事项第五章:团队协作与创新5.1 团队协作的意义和重要性5.2 创新思维与设计思路5.3 团队协作与创新在四人表决器设计中的应用教学方法:1. 采用讲授法,讲解四人表决器的原理和设计方法;2. 利用仿真软件,进行四人表决器电路的仿真实验;3. 鼓励学生进行团队协作,培养创新思维和实践能力。
教学评价:1. 课后作业:要求学生完成四人表决器的设计图纸和报告;2. 课堂讨论:评估学生在团队协作中的表现和创新思维;3. 实验报告:评估学生在仿真实验中的操作能力和问题解决能力。
教学资源:1. 教材:《数字电路与逻辑设计》;2. 仿真软件:如Multisim、Proteus等;3. 实验设备:四人表决器实验板、逻辑门电路模块等。
教学进度安排:1. 第一章:2课时;2. 第二章:3课时;3. 第三章:4课时;4. 第四章:3课时;5. 第五章:2课时。
第六章:四人表决器电路的模块化设计6.1 模块化设计概念6.2 表决器模块设计6.3 电路集成与测试第七章:四人表决器的设计与仿真7.1 设计流程概述7.2 电路图绘制7.3 仿真测试与优化第八章:四人表决器的PCB设计8.1 PCB设计基础8.2 表决器PCB布局8.3 布线规则与PCB制作第九章:四人表决器的实物制作与调试9.1 实物制作准备9.2 焊接技巧与注意事项9.3 实物电路调试与问题解决第十章:总结与拓展10.1 课程总结10.2 技术拓展与前沿10.3 课程反馈与改进计划教学方法:6. 采用案例分析法,讲解四人表决器模块化设计的具体应用;7. 利用电路设计软件,进行四人表决器电路的设计与仿真;8. 通过示范和指导,让学生掌握PCB设计的基本技巧;9. 以实践操作为主,培养学生的焊接技能和实物调试能力;10. 通过小组讨论和反馈,总结课程收获并提出改进建议。
课程设计报告---四人表决器的设计
课程设计报告---四人表决器的设计四人表决器的设计设计要求某特殊足球评委会由一位教练和三位球迷组成,对裁判员的判罚进行表决时,当满足以下条件即表示同意:(1)有三人或三人以上同意;(2)有两人同意,但其中一人必须是教练。
1、方案论证与对比1(1 方案一1.1.1 设计电路如图21.2 方案二用2输入与非门设计,同样可根据表2的真值表作卡诺图以及它的逻辑函数表达式,这里省略没作,因为与方案一比劣势明显。
该方案的电路图如下:图1 2输入与非门接线图11.3 方案对比与选择该电路的原理和方案一的区别不大,只是这个全部用的2输入与非门来实现,这个方案中其一元器件使用太过单一,而方案一中用了译码器74HC138,这就使我们更加的熟悉了译码器的适用。
其二方案一也大大减少了连线的交叉程度,方案二中因为一个芯片中含有几个与非门,只用一个又太浪费,全部用连线就会有很多交叉,使得用protel布线时带来很大的困难。
所以综合以上两个原因我们选择了方案一。
2、分析与设计2、1 译码器结构分析四人表决器即要求四个输入端,三个球迷用三线-八线的译码器,但教练的[1] 身份比较特别,为使电路简单就直接接逻辑开关。
74HC138是3线-8线译码器,其功能表如表1所示。
该译码器有3位二进制输入A0,A1,A2,它们共有8种状态的组合,即可译出8个输出信号Y0-Y7,输出为低电平有效。
此外,还设计了E3、E2和E13个是能输入端,为电路功能的扩展提供了方便。
由功能表可知,当E3=1,且E2=E1=0时,译码器处于工作状[2]态。
由功能表可得:Y0=E3*E2*E1*A2*A1*A0根据各输出的逻辑表达式可以写出最初的逻辑表达式,根据学校元件库能提供的元件,对逻辑表达式化简,于是最终确定完成本次设计的所有元器件,然后画出原理图,见图1该表清楚的表达出74HC138的逻辑功能,即三输入八输出和使能端的有效电平,同时说明了74HC138的工作状态和输出有效电平。
学习情景5.四人表决器的逻辑电路设计与制作
别反映在平均传输延迟时间和平均功耗这两个参数上。其他
电参数和引线引脚基本彼此相容。
• (1)74系列(简称N-TTL)。这类IC是以双极型晶体管(即通常所说 的晶体管)为开关元件。输入级采用多发射极晶体管形式(各输入端分 别对应一条发射极)。开关放大电路电都TTL。在速度和功耗方面,都 处于现在数字IC的中等程度,品种丰富、互换性强,一般均以“74”(民 用)或“54”(军用)为型号前缀,以“74”为头的均与N—TTL即74系 列对应品种引脚相容。初始的N—TTL现仍有使用,但正在被淘汰。除 FSC(仙童公司)的F-TTL外,其余系列产品都出自美国TL(德克萨斯 仪器公司)。
任务5.1 逻辑门电路的基本知识
• 【任务描述】 • 逻辑门电路是数字电路的基本部件,集
成门电路是数字集成电路的一部分,掌握 集成门电路产品的识别与测试是电子工程 技术人员的基本技能。
• • 【任务分析】 • 1.会TTL门电路的识别与测试 • 2.会CMOS门电路的识别与测试
• 【知识准备】
• 1.基本门电路的知识
• 2.1.1 TTL集成电路产品简介
• 按照国际通用标划分,依工作温度不同,TTL集成电路 分为TTL54系列(—55℃~125℃)和TTL74系列(—
70~0℃)。每一系列按工作速度、功耗有的不同,又分为 标准系列、H系列、S系列、LS系列和ALS系列等。
•
国产TTL电路共有5个系列,其中,有4个系列是作为主
输入端和一个输出端。
• 非门的逻辑功能是:输入与输出的电平相 反。表5-1-3是非门逻辑功能直值表。
• 非逻辑的运算称为非运算,又称逻辑非, 非门的逻辑表达式为
• 根据上述逻辑关系,可知是逻辑非的运算规 律为:
实验一四人无弃权表决器的设计与测试_实验报告
数电实验实验报告
1将四输入与非门当作的两个角接在一起当成三输入与非门使用,如下
2~(~(ABC)*~(BCD)*~(ACD)*~(ABD))
=~(~(ABC+BCD+ACD+ABD))
=ABC+BCD+ACD+ABD
这意味着只要有上面的逻辑表达式有任意一个为1。
输出结果就为1。
3
7420中含有两个四输入与非门,7400中含有四个二输入与非门。
工作原理:A、B、C、D为四个输入口,输入高电平或低电平。
~(~(ABC)*~(BCD)*~(ACD)*~(ABD))
=~(~(ABC+BCD+ACD+ABD))
=ABC+BCD+ACD+ABD
所以只要四个人中有三个人同意,结果就是正确的
A B C D 灯泡
0 1 1 1 亮
1 0 0 0 不亮
1 0 0 1 不亮
1 0 1 0 不亮
1 0 1 1 亮
1 1 0 0 不亮
1 1 0 1 亮
1 1 1 0 亮
1 1 1 1 亮
设计思路体现在了原理图中。
电路图见下图:
遇到的问题:
1、当7420芯片插在了16针脚位时,数字与之前的数字不同,需看芯片确定位置。
2、芯片的有些特征并没有体现出来,例如,悬空了一个输入端,指示灯依然亮了。
可能与芯片老化有关。
得出结论;。
4人表决器
四人表决器设计方案设计人:设计目的:目的是熟悉中小规模集成电路功能,掌握不同类型门电路或集成电路实现“四人表决器电路”方法和工作原理。
设计思想:多数同意才通过,可以推出只有三人或三人以上才输出结果为真。
真值表:ABCD代表四个人,Y代表结果1逻辑函数式: Y=ABCD’+ABC’D+AB’CD+A’BCD+ABCD卡诺图化简:化简结果:Y=ABD+ABC+BCD+ACD实现控制通过部分:用门电路实现或译码器实现1.用门电路实现(1)用与门或门实现Y=ABD+ABC+BCD+ACD(2)用与非门实现Y=((ABD+ABC+BCD+ACD)’)’=((ABD)’(ABC)’(BCD)’(ACD)’)’这里用与非门实现,结果如下仿真结果:ABCD任意三人通过,结果如下:用与非门比较好,因为只用了一种门电路,节约成本2.用译码器实现Y=ABCD’+ABC’D+AB’CD+A’BCD+ABCD=m7+m11+m13+m14+m15=((m7)’(m11)’(m13)’(m14)’(m15)’)’仿真结果任意三个人同意就通过:由于在后面的数码管显示部分还要用到译码器,所以用第二种方案:用译码器实现比较好,这种方法可以节约器件,大大简化电路,有效降低成本。
数码管显示通过人数部分Z1表示0个人通过Z1=A’B’C’D’=m0Z2表示1个人通过Z2=A’B’C’D+A’B’C D’+A’BC’D’+AB’C’D’=m1+m2+m4+m8同理Z3=A’B’CD+A’BC’D+AB’C’D+A’BCD’+AB’CD’+ABC’D’=m3+m5+m9+m6+m10+m12Z4=ABCD’+ABC’D+A’BCD=m7+m11+m13+m14Z5=ABCD=m15用与非形势写出Z1=(m0’)’Z2=((m1)’(m2)’(m4)’(m8)’)’Z3=((m3)’(m5)’(m6)’(m9)’(m10)’(m12)’)’Z4=((m7)’(m11)’(m13)’(m14)’)’Z5=(m15)’仿真结果四人表决器最终电路运用数码管的译码器来实现控制通过部分的部分电路,大大简化器件使用,有效节约成本以三人通过为例,结果如下。
四人表决器Multism仿真
四人表决器电路设计方案一:设3个或3个以上人选择通过时通过,少于3人则为不通过。
设通过为高电平“1”,不通过为低电平“0”。
设绿灯为Y1,通过时亮绿灯,红灯为Y2,不通过亮红灯。
并用数码管显示赞成通过的人数。
用A,B,C,D四个开关接到高电平,来表示输入。
接通为“1”,断开为“0”。
用四线十六线译码器74HC154NT来控制74LS47N的A0, A1, A2, A3,从而控制数码管的显示。
所用CMOS:74HC154NT,74LS47N74HC154NT的真值表:列出A3,A2,A1,A0与开关A,B,C,D的真值表:写出A3,A2,A1,A0与Y0~Y15的逻辑函数表达式:A3=0A2=Y15′A1=Y0Y1Y2Y4Y8Y15A0=(Y1Y2Y4Y7Y8Y11Y13Y14)’把A3,A2,A1,A0与Y0~Y15按逻辑函数连接好,即可得到想要的电路。
电路图如图所示:四人都选择不通过时:VSS任意一人选择通过时:VDDVSS 任意两人选择通过时:VSS 任意三人选择通过时:VDDVSS四人都选择通过时:VDDVSS方案二:设3个或3个以上人选择通过时通过,少于3人则为不通过。
设通过为高电平“1”,不通过为低电平“0”。
设绿灯为Y1,通过时亮绿灯,红灯为Y2,不通过亮红灯。
并用数码管显示赞成通过的人数。
用A,B,C,D四个开关接到高电平,来表示输入。
接通为“1”,断开为“0”。
用数据选择器74HC153D来控制亮绿灯或红灯。
用数据选择器74HC153D_4V来控制BCD译码器74LS47N从而控制共阳的数码管来显示赞成通过的人数。
所用器材:74HC153D,74LS47NY1=A′BCD+AB′CD+ABC′D+ABCD′+ABCD=AB(C′D)+AB(CD′)+(A+B)(CD)用74HC153D的~2G端接地,A为高位。
12DD关C接74HC153D的A,开关D接4HC153D 的B,根据逻辑函数可得,2C0接地,2C1接AB,2C2接AB,2C3接(A+B)。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
四川信息职业技术学院
《数字电子技术》
项目设计说明书
设计题目: 逻辑笔
专业: 应用电子技术
班级: 电创13-1
组别: 第七组
姓名: 123
学号: 1325001 1320009 139009
指导教师: 马颖
2014 年 9 月 14 日
数字电子技术项目设计任务单
目录
任务单 (1)
摘要 (3)
第一章方案设计 (4)
第二章电路设计及工作原理分析 (5)
2.1电平比较电路 (5)
2.2电平处理电路 (6)
2.3LED显示电路 (6)
2.4电路原理分析 (7)
第三章电路仿真 (7)
3.1逻辑笔接高电平 (8)
3.2逻辑笔接低电平 (9)
3.3逻辑笔悬空 (10)
第四章电路搭建与调试 (11)
4.1逻辑笔电路上电正常状态图 (11)
4.2 逻辑笔输入高电平状态图 (12)
4.3 逻辑笔输入低电平状态图 (13)
附录1 电路原理图 (14)
附录2 元器件明细表 (15)
附录3 集成芯片资料 (16)
项目考核标准及评价表 (18)
摘要
逻辑测试笔,是一种新颖的测试工具,它能代替示波器,万用表等测试工具,通过转换开关,对TTL、CMOS、DTL等数字集成电路构成的各种电子仪器设备(电子计算机、程序控制、数字控制、群控装置)进行检测、调试与维修使用。
它具有重量轻、体积小、使用灵活,清晰直观,判别迅速正确,携带方便及TTL与CMOS兼容使用等优点。
关键词:TTL、CMOS、DTL、逻辑笔
第一章方案设计
对于本次的逻辑笔项目设计方案我们组设计了几个方案,通过对逻辑笔的了解和讨论我们这组确定了最终设计方案。
以下是我们的设计方案:
我们的设计思路如下
1:了解逻辑笔的功能
2:根据功能以及我们的需要上网查阅资料。
3:整理资料从资料中分析工作原理。
4:考虑方案的可行性,再根据方案进行电路连接。
比较电平
LED显示
电平处理
待测电平
图1 逻辑笔框图
第二章电路设计及工作原理分析
2.1 电平比较电路
图2-1 电平比较电路由于数字电路中的电平是在一个变化的范围内,所以这里使用了LM358双运放作为电平比较器,用电阻将高电平设置为3.5V以上,低电平设置为1V以下,中间段为高阻态。
2.2 电平处理电路
图2-2 电平处理电路
经过电平比较电路的处理后信号送到74LS04芯片和74LS00芯片进行信号处理,经过非运算和与非运算后输出到后级电路。
2.3 LED显示电路
图2-3 LED显示电路
2.4电路原理分析
所谓输出三态,即数字集成电路输出有三种方式:高电平(与正电源相连),低电平(与地相连),高阻态(不与任何支路相连,相当于悬空状态)。
TTL电平输出高电平>2.4V,输出低电平<0.4V。
在室温下,一般输出高电平是3.5V,输出低电平是0.2V,最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V,噪声容限是0.4V。
CMOS电平“1”逻辑电平电压接近于电源电压,“0”逻辑电平接近于0V,而且具有很宽的噪声容限。
电路原理图如(图—1)所示,设Vin>3.5v时为高电平,小于 1.0V为低电平,当Vin为第三态时相当于悬空,固定输出电压的稳压IC78L05输出的电压为5V,此时电压
为R1和R2分压后所得电压为2.5V左右。
当Vin输入电压大于 3.5V时,U1①、⑦脚为低电平→U2①、③脚为低电平→U2②、④脚为高电平→U3②、③脚为高电平→U3①脚为低电平,红色发光管D1点亮;U1①、⑦脚为低电平→U3⑤、⑥脚为低电平→U3④脚为高电平,绿色发光管D2不亮;U1①、⑦脚为低电平→U2⑤脚为低电平→U2⑥脚为高电平→U3⑨脚为高电平 + U3⑧脚为低电平→U3⑩脚为高电平,黄色发光管D3不亮。
当Vin输入电压小于 1.0V时,U1①、⑦脚为高电平→U2①、③脚为高电平→U2②、④脚为低电平→U3②、③脚为低电平→U3①脚为高电平,红色发光管D1不亮;U1①、⑦脚为高电平→U3⑤、⑥脚为高电平→U3④脚为低电平,绿色发光管D2点亮;U1①、⑦脚为高电平→U2⑤脚为高电平→U2⑥脚为低电平→U3⑨脚为低电平 + U3⑧脚为高电平→U3⑩脚为高电平,黄色发光管D3不亮。
当Vin输入为第三态时,U1①脚为高电平 + U1⑦脚为低电平→U2①脚为高电平 + U2③脚为低电平→U2②脚为低电平、U2④脚为高电平→U3②脚为低电平+U3③脚为高电平→U3①脚为高电平,红色发光管D1不亮;U1①脚为高电平 + U1⑦脚为低电平→U3⑤脚为高电平、U3⑥脚为低电平→U3④脚为高电平,绿色发光管D2不亮;U1①脚为高电平+U1⑦脚为低电平→U2⑤脚为低电平→U2⑥脚为高电平→U3⑨脚为高电平 + U3⑧脚为高电平→U3⑩脚为低电平,黄色发光管D3点亮。
第三章电路仿真
3.1逻辑笔接高电平
图3-1 逻辑笔接高电平当输入电平为高电平是红灯点亮。
3.2逻辑笔接低电平
图3-2 逻辑笔接低电平
当输入电平为低电平时蓝灯点亮。
3.3逻辑笔悬空
图3-2 逻辑笔悬空当输入端悬空时黄灯点亮
第四章电路搭接与调试
根据图1的电路原理图,把其中的单元电路进行组装,完成电路安装连接,接好电路后,接通电源,开始调试电路。
4.1 刚接通电源时,看到的是绿色发光二极管亮,表示正常,如图4-1所示。
红线悬空
图3-1 逻辑笔电路上电正常状态图
4.2 给逻辑笔输入端加入高电平,紫色发光二极管亮。
如图4-2所示
红线接VCC
、
图3-2 逻辑笔输入高电平状态图
4.3 给逻辑笔输入端加入低电平,蓝色发光二极管亮。
如图4-3所示红线接地
图3-3 逻辑笔输入低电平状态图
照以上步骤进行电路的安装、检测、调试,电路能按预期的任务目标实现其功能,
完成了整个项目设计的任务。
附录1 电路原理图
逻辑笔电路原理图
附录2 元器件明细表
附录3 集成芯片资料
1.双运放集成电路LM358芯片
(1)LM358芯片管脚图
(2)LM358芯片管脚功能说明
LM358 内部包括有两个独立的、高增益、内部频率补偿的双运算放大器,适合于电源电压范围很宽的单电源使用,也适用于双电源工作模式,在推荐的工作条件下,电源电流与电源电压无关。
它的使用范围包括传感放大器、直流增益模块和其他所有可用单电源供电的使用运算放大器的场合。
①电源端:“V+”⑾脚和“V-”⑷脚分别为正、负电源端,是各个比较器的公共电源输入端。
②第1组比较器:⑴脚为输出端,⑵⑶分别为反相和同相输入端。
③第2组比较器:⑺脚为输出端,⑸⑹分别为同相和反相输入端。
2.六路反向器集成电路CD4069芯片
(1)CD4069芯片管脚图
(2)CD4069芯片管脚功能说明
CD4069是一个六非门电路,就是在一个集成电路中有六个非门,非门的功能是(反相):输入1则输出为0,输入0则输出1。
其中14号脚接电源,7脚接地。
3.四路与非门集成电路74LS00芯片
(1)74LS00芯片管脚图
(2)74LS00芯片管脚功能说明
74LS00 是2输入端四与非门。
就是在一个集成电路中有4个与非门,输入端为A,B,输出端为Y,则 Y=/AB。
其中14脚接电源,7脚接地。
项目考核标准及评价表
序号工作任
务
主要内容评分标准
配
分
学生(自评)
1 项目资
讯
(10
分)
任务相关知识
查找及汇总
查找相关知识学习,该任
务知识能力掌握度达到60%
扣5分;达到80%扣2分;
达到90%扣1分
10
2 电路设
计
(10
分)
确定方案
设计电路
确定整体设计方案,进行
整机电路设计,在实施过
程中修改一次扣2分
10
3 仿真验
证
(14
分)
用Proteus7.5
软件进行电路
连接,仿真验
证电路功能
在电路搭接过程中,软件
操作熟练度达到60%扣3
分;达到80%扣2分;达到
90%扣1分
4
电路仿真验证功能实现情
况,每缺少一个功能,扣2
分
10
4 电路检
测
调试
(16
分)
用数电开发板
进行电路连
接,检测、调
试电路功能
开发板本身存在故障,没
能调试实现功能的,每处
扣2分;电路搭接后检测
调试,每出现不能解决的
故障一处口2分
16。