-篮球比赛计分器课程审计

合集下载

任务书5:篮球比赛计分器任务书

任务书5:篮球比赛计分器任务书

广东工业大学课程设计任务书
题目名称篮球比赛计分器
学生学院
专业班级
姓名
学号
一、课程设计的内容
设计一个篮球比赛计分器。

二、课程设计的要求与数据
设计要求包括:
1. 按照篮球比赛规则,需要两个计分器实现双方比分的显示及比赛时间的显示(倒计时),
时间精确到秒,设每节比赛的初始设置时间为12分00秒,双方初始比分显示为00;
2. 双方分别用2个按键表示加分操作,按一次按键加1分;双方分别用2个按键表示减
分操作,按一次按键减1分,以纠正可能的误加分操作;
3. 计分器应有启动、教练暂停、犯规罚分和复位开关。

复位开关有效时,双方比分自动
清零显示数字“00”;启动开关有效时,比赛开始计时,允许记分。

教练暂停按钮有效时,停止计时,不允许双方改变比分,释放暂停开关后,继续计时比赛。

犯规罚分开关有效时,停止计时,允许双方改变比分,释放该开关后,继续计时比赛。

三、课程设计应完成的工作
1. 利用各种电子器件设计篮球比赛计分器;
2. 利用DE2板对所设计的电路进行验证;
3. 总结电路设计结果,撰写课程设计报告。

四、课程设计进程安排
五、应收集的资料及主要参考文献
发出任务书日期:年月日指导教师签名:
计划完成日期:年月日基层教学单位责任人签章:主管院长签章:。

篮球比赛计分器单片机课程设计报告

篮球比赛计分器单片机课程设计报告

1.1设计的内容与要求设计一个单片机系统用于篮球比赛计时计分,满足以下功能要求:(1)能记录整个赛程的比赛时间,并能暂停。

(2)能随时刷新甲、乙两队在整个比赛过程中的比分。

(4)比赛结束时,能发出报警声。

在篮球比赛过程中需要对参赛双方的比分进行快速的采集记录和加工处理,需要一个快捷方便的计分系统。

该计分系统是一种得分类型的系统,即根据不同球队的不同得分,进行相应的处理,并且能够实时的显示出来。

又因为篮球比赛是分节进行的,所以还需要有倒计时功能的计时器,以便于观众实时了解赛况。

由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,功耗低,价格便宜,可靠性高和使用方便等独特的优点,目前已经成为测量控制应用系统中的优选元器件。

篮球计时计分器就是以单片机为核心的计时计分系统,有计时器、计分器、直流电源、时钟电路、按键等组成,完全能够实现上述的功能。

1.2设计的目的及意义随着科学技术发展的日新月异,单片机已经成为当今计算机应用中空前活跃的领域,因此掌握单片机的一些基本功能就显的十分重要。

本次设计是采用AT89S52来编程控制LED七段数码管,使其能够显示篮球比赛的时间和计分,该系统具有赛程时间实时显示、时间暂停以及刷新A/B球队的成绩等功能。

通过本次设计可以了解、熟悉有关单片机的开发设计过程,并更进一步加深对单片机的了解和应用,掌握单片机与外围接口的一些方法与技巧,以及AT89S52单片机的最小应用系统的构成。

还可以了解LED数码管的结构、工作原理、编程方法以及相关的接口实例与具体连接。

通过本次设计可以很好的把课本的理论知识和实践有机的联系起来,是我们对理论知识有更深一步的掌握,为以后的学习打下坚实的基础。

2.1任务分析充分了解本设计要求,明确设计的全部功能、要求及技术指标;熟悉AT89S52单片机与控制对象的各种参数、关系和特点。

按题目要求能记录整个赛程的比赛时间,并能暂停,则需要暂停按键和7段共阴极LED数码管,其中时间显示按每节10分钟倒计时显示分和秒;能随时刷新A/B两队在整个比赛过程中的比分,加分有误时可通过按键实现减分调整,则需要有加减分按键、切换按键等。

EDA课程设计篮球球比赛计分器

EDA课程设计篮球球比赛计分器
,a click to unlimited possibilities
汇报人:01Biblioteka 020304
05
06
记录比赛得分:记录每支球队的得分和总得分 记录犯规次数:记录每支球队的犯规次数和累计犯规次数 记录比赛时间:记录比赛时间、暂停时间和比赛结束 记录球员信息:记录球员的姓名、号码和位置等信息 统计比赛数据:统计投篮命中率、篮板数、助攻数等数据 提供比赛结果:提供比赛结果和排名等信息
微控制器:选择合适的微控制 器,如Arduino、STM32等
电源:选择合适的电源,如 电池、电源适配器等
连接器:选择合适的连接器, 如USB、串口等
外壳:选择合适的外壳,如 塑料、金属等
程序功能:实现篮球比赛计分、计时、统 计等功能
编程语言:C语言或Python等
程序结构:模块化设计,包括主程序、子 程序、函数等

稳定性测试: 确保系统在各 种环境下的稳 定性和可靠性
篮球训练:用于记录训练数 据,帮助球员提高技术水平
篮球比赛:用于记录比赛得 分、犯规次数等数据
篮球俱乐部:用于管理球队 数据,提高球队管理效率
篮球赛事直播:用于实时展示 比赛数据,增加赛事观赏性
目标市场:篮球比赛、体育 赛事、体育爱好者等
数据结构:使用数组、链表、队列等数据 结构进行数据存储和处理
用户界面:设计简洁、易用的用户界面, 方便用户操作
测试与调试:编写测试用例,进行程序测 试和调试,确保程序稳定性和准确性
用户界面:简洁明了,易于操 作
交互方式:触摸屏、按键、语 音等多种方式
反馈机制:实时显示比赛信息, 如比分、犯规次数等
数据结构:采用数组、链表等数据结构 存储比赛数据

数电篮球比赛计分器课程设计

数电篮球比赛计分器课程设计

数电篮球比赛计分器课程设计课程要求学生在最后一节课讨论中提出有关篮球比赛计分器的细节,并就其中涉及到的主要技术点、数据存储原理和使用技术进行讨论。

二、课程内容本课程分为五个部分:第一部分:篮球比赛计分器简介(一)介绍篮球比赛计分器概念;(二)讲解篮球比赛计分器的主要功能。

第二部分:篮球比赛计分器设计的基本原理(一)学习篮球比赛计分器的基本原理,包括比赛时间、比赛结果的计算;(二)学习如何在实际比赛中应用篮球比赛计分器,如何辨别篮球比赛结果。

第三部分:篮球比赛计分器的使用(一)学习如何将篮球比赛计分器应用到实际比赛中,如:计分的正确方式,比赛结束的标志;(二)学习篮球比赛计分器在实际比赛中的使用,讨论实际比赛中可能出现的问题并讨论应对方法。

第四部分:篮球比赛计分器的技术点(一)学习使用篮球比赛计分器的主要技术点,如:数据存储原理、计算技术等;(二)讨论篮球比赛计分器的设计与实现方法,实际使用中存在的问题以及解决方案。

第五部分:课程讨论学生就与篮球比赛计分器涉及到的主要技术点、数据存储原理和使用技术进行讨论,以了解如何有效地应用篮球比赛计分器完成比赛中的计分。

三、教学目标1. 了解篮球比赛计分器的基本概念、工作原理及其在实际比赛中的使用。

2. 掌握篮球比赛计分器设计的基本原理,深入了解篮球比赛计分器的主要技术点、数据存储原理和使用技术。

3. 可以根据实际比赛情况,使用篮球比赛计分器做出正确的计分,有效地记录和保存篮球比赛数据。

4. 在最后一节课中,培养学生的研究能力,让学生可以从技术角度出发,深入探究篮球比赛计分器的具体使用方法。

四、教学方法本课程采用以下几种教学方法:(一)讲授课:课程中主要采用讲授的方式传授知识,让学生对课程内容有一个清晰的认识。

(二)实验和演示:在课堂上采用实验和演示的方式让学生将实验中学习到的知识应用到实际篮球比赛中,加深学生对课程内容的理解。

数电课程设计-篮球计分器

数电课程设计-篮球计分器

XXXXX大学数字系统课程设计报告题目:数字篮球计分器电路设计学年:2016 学期:第一学期专业:网络工程班级:XXXX学号: xxxxxxxxX生名: XXXX指导教师及职称:XXXX讲师时间:2016年10月15日-2016年10月19日XXXXXX学院设计课题题目: 数字篮球计分器电路设计一、同组成员:XXX XXX XX XXX 组长:XXXX二、设计任务与要求1. 分别记录两队得分情况;2 •进球得分加2分或3分,罚球进球得分加1分;3. 纠正错判得分减3分、2分或1分;4. 分别用三个数码管显示器记录两队的得分情况;功能描述:1、加分功能,当按下相应的按键开关S1、S2、S3时,分别可以进行加1、2、3 分;2、减分功能,当将加减分置换开关S4拨到减分档时,按下开关S1、S2、S3, 可以进行减分操作;3、清零功能,当按下S0时,可以将积分清为零。

二、电路原理分析与方案设计据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。

用三片四位二进制加法计数器74LS160组成二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。

译码器显示器用于显示分数。

方案设计:1. 总体设计思路(含电路原理框图):电路的核心模块是加减分和累加积分电路的设计,我们采用的总体方案是,用时钟信号电路产生的脉冲信号给加减分电路提供时钟信号,之后将加减分电路发出的信号接到累加器上进行总分的累加,然后在数码显示电路上显示出来。

电路的原理框图如下图所示:1. XXX:脉冲电路设计与总体电路设计2. XXX :总体电路设计与脉冲电路设计3. XXX :译码器设计与部分电路仿真4. XXX :计数器设计与总体电路仿真5. XXX :控制电路设计与资料查阅6. XXX :控制电路设计与实验报告整理 2. 主要元件介绍⑴二进制加法计数器74LS16074LS160引脚图①管脚图介绍:74LS160为可预置的十进制同步计数器 其管脚图如图所示RCO 进位输出端时钟信号电] 1 \加/减二分电 1累加记分电 ]1F-数码显示电TT_________________加/减三分电「加减置换开加/减一分电鉴于电路可以分为多个模块,因此我们将设计任务分配到每一位组员如下:ENP 计数控制端 ENT 计数控制端 A-D输入端QA-QD输出端 CLK 时钟输入端CLR异步清零端,低电平有效LOAD 同步并仃置入端,低电平有效② 工作方式选择表:输入1:作模式ent LOADENT吋钟 CLKL X X X X消零 1H [, % X tH H HHt计数 HLXX 保持(不茂〉 HHX LX保椅(车变、沪高电甲L=低电平 Q 不宦(為或低电平) W 低” 一*•陳T 电平的跌变⑵ 十进制可逆计数器74LS192引脚图管脚及功能表74LS192 是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置 数等功能,其引脚排列及逻辑符号如图所示:(b)74LS192的引脚排列及逻辑符号V QC 巾 MR TQ)PL 巴 卩 3冏岡网 [而|¥]阳[¥|J JI^JL A JL L ILJL L II J JL L I Pl Qi 询 CP D CPy g g GND15 io5 3 1T 14P0Q P1 Qi P2 Q2 P3Q3CPuTCUCP D TC DPLMR121374LS192的引脚说明: PL 为置数端 CPu 为加计数端 CPD 为减计数端为非同步进位输出端 二为非同步借位输出端在 其功能表如下:(3)七段显示器半导体数码管是由七段发光二极管组成,简称LED 共阴共阳的判断: 可以假设它是共阳的,那么任一段串入一个100欧姆电阻到5V,相应段就会被点亮; 否则为共阴的数码管。

课程设计--篮球比赛计分牌设计

课程设计--篮球比赛计分牌设计

电子技术课程设计题目:篮球比赛计分牌设计专业:电气工程及其自动化班级:姓名:`````学号:23指导老师:小组成员:成绩:篮球比赛数字计分牌的设计摘要随着科技的发展和人们生活水平的逐渐提高,各种有利于生活的电子产品开始逐步进入人们的生活。

数字记分牌的出现则代替了记分员人工翻动记分牌累计积分的繁琐劳动,使各种比赛进入了更智能、更高效、更精准的人机互动时代,在各种比赛中具有重要意义。

本文介绍了基于数字电路的篮球数字计分牌的设计,在硬件方面使用三片四位二进制加法器 74LS161分别组成 1,2,3 进制计数器,用三个开关分别进行 1分,2 分,3 分的计数,然后把数值通过逻辑电路输入到十进制加/减计数器74LS192进行总分的累加。

使用两个七段LED管进行显示十位,个位, LED采用的七段数码显示,用74LS48芯片进行驱动。

软件方面使用Multisim对可逆计数器进行仿真,整个系统能够对篮球比赛过程中比赛分数进行计数累加,实现对整个比赛的计分。

关键字:数字记分器;LED 数码管;计数器;仿真目录一、设计项目与目的二、设计方案及原理 (2)三、单元电路得设计 (10)1 二进制加法计数器74LS161 (10)2 十进制可逆计数器74LS192 (11)3 七段数码显示管 (11)4 七段显示译码器74LS48 (11)四、电路元件清单 (12)五、电路设置及仿真1 电路设置 (11)2 电路仿真 (11)六、总结及心得一、设计任务与目的设计一个篮球比赛数字计分器,掌握数字计分器的工作原理和设计方法。

要求:(1)分别记录两队得分情况;(2)进球得分加2分,罚球进球得分加1分;(3)纠正错判得分减2分或1分;(4)分别用三个数码管显示器记录两队的得分情况。

设计目的:(1)熟悉中规模集成可逆计数器,译码器和显示器的功能;(2)培养创造性地运用所学知识进行数字系统设计的能力和兴趣;(3)了解数字系统的实验及调试方法,以及一般故障的排除方法。

单片机课程设计—篮球计时计分器

单片机课程设计—篮球计时计分器

单片机课程设计—篮球计时计分器篮球作为一项广受欢迎的运动,其比赛的公平性和准确性至关重要。

而在篮球比赛中,计时计分器是不可或缺的设备,它能够为比赛提供准确的时间和比分信息,保障比赛的顺利进行。

本次单片机课程设计的任务就是设计一款篮球计时计分器,通过运用单片机的知识和技能,实现篮球比赛计时和计分的功能。

一、设计要求1、能够实现比赛时间的计时功能,包括 24 秒进攻时间、每节 10分钟的比赛时间以及加时赛时间。

2、能够实时显示比分,包括主队和客队的得分。

3、具备暂停、复位等功能,以应对比赛中的各种情况。

4、能够通过按键进行操作,简单易用。

二、系统方案设计1、硬件设计单片机选型:选择一款性能稳定、资源丰富的单片机,如STC89C52 单片机。

显示模块:采用数码管显示时间和比分,数码管具有亮度高、显示清晰、成本低等优点。

按键模块:使用独立按键实现计时、计分、暂停、复位等操作。

时钟模块:采用外部时钟芯片,为系统提供准确的时钟信号。

2、软件设计编程语言:选择 C 语言进行编程,C 语言具有语法简洁、可移植性好等优点。

程序流程:主程序负责系统的初始化、按键扫描、时间和比分的更新以及显示。

中断服务程序负责处理时钟中断,实现计时功能。

三、硬件电路设计1、单片机最小系统包括单片机芯片、晶振电路和复位电路。

晶振电路为单片机提供时钟信号,复位电路用于系统的初始化。

2、显示电路采用共阳极数码管,通过三极管驱动数码管的段选和位选信号,实现时间和比分的显示。

3、按键电路独立按键分别连接到单片机的 I/O 口,通过检测按键的状态来执行相应的操作。

4、时钟电路采用 DS1302 时钟芯片,通过单片机的 I/O 口与时钟芯片进行通信,获取准确的时间信息。

四、软件程序设计1、主程序首先进行系统初始化,包括单片机端口设置、数码管显示初始化、时钟芯片初始化等。

然后进入主循环,不断扫描按键状态,根据按键执行相应的操作,同时更新时间和比分,并将其显示在数码管上。

篮球计时计分器课程设计

篮球计时计分器课程设计

1、绪论现如今,随着科学技术的发达,人类越来越依靠科技的力量。

对于体育比赛计时计分系统是对体育比赛过程中所产生的时间,比分等数据进行快速采集记录,加工处理,传递利用的信息系统,他大大的减少了人的工作量,同时更加迅速精确的对比赛进行统计,也增加了比赛的公正公平性。

根据不同运动项目的不同比赛规则要求。

然而篮球比赛是根据运动队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的计时计分系统是一种得分类型的系统。

篮球比赛的计时计分系统由计时器,计分器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛计时计分系统设备应能够与现场成绩处理,现场大屏幕,电视转播等多种设备相联,以便实现高比赛现场感,表演娱乐观众等功能目标。

因此,计时计分系统在篮球比猜中发挥着非常重要的作用。

1.2 设计计任务和要求任务:设计一个用于赛场的篮球计时计分器。

要求: 1、能记录整个赛程的比赛时间,并能随时实现暂停。

2、能随时刷新甲、乙两队在整个过程中的比分。

3、中场交换比赛场地时,能自动交换甲、乙两队比分的位置。

4、比赛中场和结束时,能发出报警声。

5、通过指示灯指示上下半场。

6、当比赛时间需要回倒时,能通过按键实现回表。

7、加分有误时可通过按键实现减分调整。

1.3 实验简介和方案设计本设计是基于AT89S52单片机的篮球计时计分器,利用7段共阴LED作为显示器件。

在此设计中共接入了1个四位一体7段共阴LED显示器,2个两位一体7段共阴LED显示器,前者用来记录赛程时间,其中2位用于显示分钟,2位用于显示秒钟,后者用于记录甲乙队的分数,每队2个LED显示器显示范围可达到0~99分。

赛程计时采用倒计时方式,比赛开始时启动计时,直至计时到零为止。

其次,为了配合计时器和计分器校正调整时间和比分,我们特定在本设计中设立了7个按键,用于设置,调整时间,启动,调整分数和暂停等功能。

采用单片机控制是这个系统按键操作使用简洁,LED显示,安装方便。

篮球计分计时器课程设计

篮球计分计时器课程设计

单片机课程设计基于篮球计分牌学院:计算机与信息工程学院专业:计算机科学与技术组长:文鹏宇组员:黄致煜彭运佳韩露露指导老师:张永安目录第1章课题设计的目的和意义第2章课题设计的功能概述第3章硬件介绍第4章硬件设计方案第5章两队篮球比赛计分牌电路设计第6章程序流程图第7章应用程序第8章结论第9章心得体会第10章参考文献i第一章课题设计的目的和意义篮球比赛计分牌器系统是一个负责各类体育竞赛技术支持系统前沿(比赛场地)的数据采集和分配的专用系统它负责各类体育竞赛结果、成绩信息的采集处理、传输分配,即将比赛结果数据通过专用技术接口、界面、协议,分别传送给裁判员、教练员、电子计时计分计算机信息系统、电视转播与评论系统、现场大屏幕显示由于体育竞赛的不可重复性,决定了电子计时计分系统是一个实用性很强可靠性要求极高的以计算机技术为核心的电子服务系统。

因此,电子计时计分系统自身组成独立的采集分配、评判、显示发布系统,做至V所以信息的实时、准确、快捷、权威。

电子计时计分设备是各类体育竞赛中不可缺少的电子设备,电子计时计分系统设计是否合理,关系到整个体育比赛系统运行的稳定和可靠,并直接影响至嚏个体育比赛的顺禾进行。

电子计时计分系统是体育竞赛的重要工程项目,是关系到竞赛成败的关键工程,每一个单项体育竞赛都具有对应的专门电子计时计分工作系统。

这些工作系统各不相同,但都是各单项成绩处理系统的前级数据采集系统,除了提供计算机成绩处理系统竞赛数据以外,还需要在部分项目中连接电视转播等其他工作系统,电子计时计分需要极高的工作稳定性和可靠性.由于LED显示牌是目前公共场所中信息发布的主要载体,因此该系统也可拓展使用到会展中心、广场银行、市场等公共场所及车站机场等交通运输行业。

尤其是一些发布数据并需要经常手动更新的领域。

因此,该项目有着重要意义。

第2章课题设计的功能概述两位数码管显示比分,可力口可减还显示比赛时间和剩余时间。

第3章硬件介绍硬件;第4章硬件设计方案根据原理图,在板板上连接各种电器.植入程序. 第5章两队篮球比赛计分牌电路设计第6章程序流程图第7章应用程序//篮球比赛计时计分带24秒倒计时改进版的设计// (1)能记录整个赛程的比赛时间,并能修改比赛时间、暂停比赛时间。

篮球比赛计时计分(89C52)

篮球比赛计时计分(89C52)

单片机课程设计报告设计题目: 篮球赛电子计时记分牌专 业: 电气工程及其自动化班 级: 09电气工程2班学生姓名: 刘欢学 号: 2009401020229指导教师: 邹云峰二O 一 二年六月七日JINGCHU UNIVERSITY OF TECHNOLOGY摘要随着单片机在各个领域的广泛应用,许多用单片机作控制的计时计分系统也应运而生,如用单片机控制LCD液晶显示的计时计分器,用单片机控制LED七段显示的计时计分器等。

本文设计了一种由STC89C52型号单片机编程控制LED 七段数码管作显示的篮球赛计时计分系统,并仿真实现。

该系统具有赛程时间设置,赛程时间暂停,以及即时刷新甲乙两队比分,上下半场交换比分,时间开始结束指示灯等功能。

此外,该系统具有低功耗、可靠性、安全性以及低成本等特点,可广泛用于学校和小团体作为赛程计时计分。

关键词:单片机STC89C52,篮球赛计时,篮球赛计分,仿真目录摘要 (I)第1章绪论 (2)1.1背景知识介绍 (2)1.2设计目的和意义 (2)1.3球赛计时计分系统的发展和现状 (3)1.4本文的主要研究内容 (3)第2章系统硬件介绍 (4)2.1MCS-51单片机简述 (4)2.2显示器及其接口 (6)2.3CD4511芯片 (7)2.474LS21芯片介绍 (8)第3章硬件电路设计 (9)3.1系统硬件组成和器件选择 (9)3.2基本功能介绍 (10)3.3计时电路和计分电路 (11)3.4串行接口工作原理 (15)3.5比分校正控制电路 (15)3.6球赛计时计分器的工作过程 (16)第4章系统软件设计和PROTEUS仿真 (18)4.1电路总体设计 (18)4.2主要模块说明 (24)4.3系统仿真情况 (26)第5章结束语 (29)参考文献 (30)实物图 (31)第1章绪论1.1背景知识介绍单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。

篮球计分器课程设计报告

篮球计分器课程设计报告

学生姓名 :孙一鸣学号: 1001010427 学院 :电气工程学院班级: 电自114题目: 电子工艺实习——篮球比赛计分显示器的设计指导教师: 职称:年月日一、设计内容与要求:设计一个篮球比赛计分显示器基本要求:(1)电路具有加1分、加2分、加3分功能。

(2)电路具有减分功能。

(3)显示总分功能,用三位LED显示器,最高可现实999。

(4)显示器可清零。

二、设计原理及框图对应篮球比赛规则的系统的要求,篮球计分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。

电路要具有计分、减分及显示的功能。

当球队比赛得分时,用加法计分器通过控制分路加相应的分数。

如果裁判误判了,可用减法计数器减掉误判的分数。

用三片计数器和三个半导体数码LED进行对分数的统计和显示。

电路框图显计分电路示加减显置示换一二三分分分分数脉脉脉清冲冲冲零三、器件说明及设计过程1、计分部分设计要求计分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。

74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下:故计分部分电路设计如下:2、显示部分数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。

共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由 a、b、c、d、e、f输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。

由于计数器输出的是8421BCD码,数码管不能直接显示成数字,为了让数码管显示人们看懂的数字,就需要把计数器输出的8421BCD码转换成数码管显示的阿拉伯数字,这就需要译码器的翻译。

本设计采用DCD_HEX七段发光二极管译码显示器。

EDA篮球比赛计分器课程设计

EDA篮球比赛计分器课程设计

一、任务及要求:设计一个用于赛场的篮球计时计分器,其完成的功能如下所示:1、能记录整个赛程的比赛时间,并能修改比赛时间。

2、能随时刷新甲、乙两队在整个过程中的比分。

3、中场交换比赛场地时,能交换甲、乙两队比分的位置。

4、比赛结束时,能发出报警声。

二、硬件设计2.1 AT89C52简介单片机是在一块芯片中集成了CPU、RAM、ROM、定时/计数器和多功能I/O 口等计算机所需要的基本部件的大规模集成电路。

其以体积小、功能全、性价比高等诸多优点而独具特色,在工业控制、尖端武器、通信设备、家用电器等嵌入式应用领域中独占鳌头。

如果说C语言程序设计课程设计软件设计的基础课,那么单片机以其系统硬件构架完整、价格低廉、学生能动手等特点,成为工科学生硬件设计基础课。

2.2 数码管显示原理数码管实际上是由7个发光管组成8字形构成的,加上小数点就是8个。

我们分别把他命名为A,B,C,D,E,F,G,H。

图2.2 数码管内部结构图假设我们要显示一个数字2, 那么 A、B、G、E、D这5个段的发光管亮就可以了,C、F、H不亮,同时由于接法为共阴接法,那么为高电平是亮,低电平是灭。

从高往低排列, P1.7-P1.0写成二进制为01011011,把他转化为16进制则为5BH。

我们可以根据硬件的接线把数码管显示数字编制成一个表格,见下表,以后直接调用就行了。

数码管的接口有静态接口和动态接口两种。

静态接口为固定显示方式,无闪烁,其电路可采用一个并行口接一个数码管,数码管的公共端按共阴接GND ,本次课程设计由于所需数码管不多,故可用些种方法接线。

这种接法占用接口多,仅能接少量数码管。

动态接口采用各数码管循环轮流显示的方法,当循环显示的频率较高时,利用人眼的暂留特性,看不出闪烁显示现象,这种显示需要一个接口完成字形码的输出,另一接口完成各数码管的轮流点亮。

多位一体的数码管原理和单个的差不多。

表2.1 共阴极数码管显示显示P1.7小数点P1.6 G P1.5小FP1.4 EP1.3 DP1.2 CP1.1 BP1.0 AHE 代码0 0 0 1 1 1 1 1 1 3FH 1 0 0 0 0 0 1 1 0 06H 2 0 1 0 1 1 0 1 1 5BH 3 0 1 0 0 1 1 1 1 4FH 4 0 1 1 0 0 1 1 0 66H 5 0 1 1 0 1 1 0 1 6DH 6 0 1 1 1 1 1 0 1 7DH 7 0 0 0 0 0 1 1 1 07H 8 0 1 1 1 1 1 1 1 7FH 91111116FH2.3 总体方案:基于单片机系统的篮球赛计时计分器的系统构成图如下图所示:图2.3 系统结构图本系统采用单片机AT89C51作为本设计的核心元件。

单片机课程设计——篮球计时计分器

单片机课程设计——篮球计时计分器

单片机课程设计报告篮球比赛计时计分器设计2009年6月18日摘要本设计是采用AT89C52单片机为核心设计的一个用于赛场的篮球计时计分器。

本设计采用定时器T0中断计时,显示部分分为计时和计分显示两部分,均采用共阴极LED显示。

计时部分计时范围宽,而且可定时设定与小时、分钟调整;计分部分调整灵活,显示范围宽,足以满足各种规模赛程需要。

两个显示模块均采用动态扫描方式显示。

在本设计中P3口(P3.0——P3.3)是计时计分显示共用的扫描口,P0口是计时数据输出口,P2口是计分数据输出口,计时计分均设有显示缓冲区(40H ——43H计分显示缓冲区,30H——33H计时显示缓冲区)。

本设计共有K1——K5六个设置按键,K1是甲加分建(按一次加一分),K2是乙加分键(按一次加一分),K3是定时切换键(定时设置与计时调时切换),K4是分钟调整键(计时与定时分钟调整),K5是分数位置交换键(交换甲、乙两队比分的位置)。

按键与P1口相接,低电平输入有效.。

报警部分由扬声器组成,当计时时间到时,输出低电平有效,由P1.3口输出脉冲信号驱动扬声器发出声音。

计时定时的原理与定时闹钟相同,为定时设置定时分钟计数单元,通过比较定时与计时的分计数单元判断比赛是否结束是否报警,定时与计时共用一个显示缓冲去,通过K3(定时切换键)进行显示切换,本设计可通过定时显示的秒位判断定时是否有效,如果秒位显示00说明无效(上次用过的定时),显示11说明有效(本次定时)。

定时时间到,扬声器报警,比赛结束,按K3键可停止报警(否则一直报警)。

关键词:单片机篮球计时计分器数码管按键报警器目录1 概述 (3)1.1单片机简介 (3)1.2课程设计的意义 (4)1.3任务与要求 (4)2 系统总体方案与硬件设计 (5)2.1、系统总体方案设计 (5)2.2、硬件系统设计 (5)2.2.1单片机的选择 (5)2.2.2、时钟电路模块 (6)2.2.3、复位电路模块 (7)2.2.4、按键控制模块 (8)2.2.5、数码管显示原理 (8)2.2.6、定时报警模块 (9)3、软件设计 (10)3.1、程序流程图 (10)3.2、程序分析 (11)4 PROTEUS软件仿真 (12)4.1、简介 (12)4.2、仿真过程 (12)5、调试分析 (15)6、课程设计心得体会 (16)参考文献: (16)附1、源程序代码 (17)附2、系统原理图 (24)1 概述1.1单片机简介单片机是指一个集成在一块芯片上的完整计算机系统。

篮球赛计时计分器课程设计

篮球赛计时计分器课程设计

篮球赛计时计分器课程设计目录第1章系统概述 (1)1.1 功能简述 (1)1.2 按钮设置 (1)第2章总体方案设计 (2)2.1系统框图 (2)2.2 软件总体设计 (2)第3章系统硬件设计 (3)3.1 80C51单片机 (3)3.2 3×4矩阵式键盘 (3)3.3 8段数码管显示器 (3)3. 4 系统原理图........................ (3)第4章软件设计 (4)4.1 主函数设计 (4)4.2 按键码获取,按键处理函数 (5)4.3 显示子函数 (6)4.4 延时子函数 (7)第5章系统的安装调试说明 (8)5.1 软件调试 (8)5.2 软硬联调 (8)总结 (9)参考文献 (10)附录A 系统原理图 (11)附录B 源程序清单 (12)第1章系统概述1.1 功能简述本设计内容为比赛计分器,主要用于各种体育比赛记录分数。

采用矩阵式键盘作为输入,用户可分别对两队比分进行加1、加2、加3和减1减2、减3操作,其加减1,2,3分可以通过加减1分、2分和3分的切换按钮实现,并通过指示灯显示其每次按下加减分键所加减的分值。

可以实现预置分。

比分通过4个8段数码管显示器进行显示,每队比分显示2位,1.2 按钮设置计分器应该有7个按键分别标注于原理图,见图1-1。

图1-1按钮功能图其中1/2/3分切换由发光二极管指示,加1减1分别对应。

预置分是事先设定分数可以分别设定甲乙两队的初始分数。

按下清零后,显示的分数清零。

第2章总体方案设计2.1 系统框图系统框图,见图2-1。

图2-1 系统框图本设计用80C51单片机为核心,利用4个8段数码管显示器.采用动态显示输出比分,用户信息输入则采用3×4矩阵式键盘。

89C52单片机有32根I/O线,所以不用扩展I/O口。

用一片单片机即可满足本设计的输入输出。

2.2 软件总体设计软件设计主要分为3个部分:信息输入、信息处理、显示输出。

篮球计分器课设报告

篮球计分器课设报告

课程设计课程名称电子技术综合设计与实践题目名称篮球比赛计分器学生学院自动化学院专业班级物联网一班学号学生姓名指导教师2018年5 月26 日广东工业大学课程设计任务书题目名称篮球比赛计分器学生学院自动化学院专业班级物联网一班姓名学号一、课程设计的内容设计一个篮球比赛计分器。

二、课程设计的要求与数据设计要求包括:1. 按照篮球比赛规则,需要两个计分器实现双方比分的显示及比赛时间的倒计时显示,时间精确到秒,设每节比赛的时间为12分00秒,双方初始比分为00;2. 双方分别用2个按键表示加分操作,按一次按键加1分;3. 计分器应有启动、教练暂停、犯规罚分和复位开关。

复位开关有效时,双方比分自动清零显示数字“00”,不允许计分;启动开关有效时,比赛开始计时,允许记分。

教练暂停按钮有效时,停止计时,不允许双方改变比分,释放暂停开关后,继续比赛计时。

犯规罚分开关有效时,停止计时,允许双方改变比分,释放该开关后,继续比赛计时。

4. 设计一个24秒违例定时器,当24秒到进攻一方仍旧没有投球时,用一个红色指示灯亮表示时间到,指示灯持续点亮5秒;5. 设置两个指示灯表示比赛的节次。

启动开关有效时,表示每节比赛开始,要求显示该节比赛的节次(用001,010、011、100分别表示第1、2、3、4节)。

每节比赛结束后,需要等重新按下启动按钮后,方才进行下一节比赛并显示该节比赛的节次;6. 每节比赛时间到时,用一个绿色指示灯亮表示,指示灯持续点亮5秒,此时不允许进行计分操作。

注:假设双方比分不超过99分。

三、课程设计应完成的工作1、解读篮球比赛计分器所需实现的功能,制定功能框图;2、查找合适的芯片;3、根据题目要求设计电路;4、使用Multisim软件进行画图、模拟仿真运行。

5、完成实验要求,向任课老师答辩;6、按要求撰写课程设计报告,包含必要的文件和文档。

四、课程设计进程安排五、应收集的资料及主要参考文献[1]欧阳星明.数字逻辑(第四版).武汉:华中科技大学出版社,2009发出任务书日期:2019 年 5 月20 日指导教师签名:计划完成日期:2019 年 5 月24 日基层教学单位责任人签章:主管院长签章:摘要本课程设计主要介绍了一种简便的篮球计时计分的设计方法,主要解决如何实现篮球比赛的倒计时,比赛节数的修改以及双方比分的修改等功能。

EDA课程设计——篮球球比赛计分器_2

EDA课程设计——篮球球比赛计分器_2

<<电子设计自动化EDA技术>>课程设计报告题目: 篮球比赛记分牌姓名:院系:专业:学号:指导教师:完成时间: 年月日目录1 课程设计题目`内容与要求………………………1.1 设计内容1.2 具体要求2系统设计…………………………2.1 设计思路2.2 系统原理3 系统实现……………………………………………4 系统仿真……………………………………………5硬件验证(操作)说明………………………………6 总结…………………………………………………7参考书目……………………………………………一、课程设计题目、内容与要求1.1课程设计的题目: 篮球比赛记分牌1、1.2课程设计内容:2、根据比赛实际情况记录两队得分, 罚球进的1分, 进球的2分;3、记分牌要具有纠错功能, 能减1分、2分功能;4、利用3个译码显示管输出比赛的分;二、系统设计2.1设计思路:篮球比赛记分牌是记录两队比赛的得分情况, 并能够进行纠错功能;根据系统设计的要求, 篮球记分牌的电路原理框图如下:2.2 系统原理与设计说明系统各个模块的功能如下:1.D触发器电路模块实现翻转功能当出错时, 输出为1, 使电路回到上一个正确的状态。

2.4为二进制全加器电路模块实现加法计数功能。

3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态, 出错时将调用上一个正确状态。

4.二选一数据选择器电路模块用来控制移位寄存器5. LED数码管驱动电路模块三、系统实现各模块电路的源程序如下:1、D触发器电路模块及程序:set输入(Q=1), 清零应该可以用复位键reset吧(Q=0)。

library ieee;use ieee.std_logic_1164.all;entity sync_rsdff isport(d,clk : in std_logic;set : in std_logic;reset: in std_logic;q,qb : out std_logic);end sync_rsdff;architecture rtl_arc of sync_rsdff isbeginprocess(clk)beginif (clk'event and clk='1') thenif(set='0' and reset='1') thenq<='1';qb<='0';elsif (set='1' and reset='0') thenq<='0';qb<='1';elseq<=d;qb<=not d;end if;end if;end process;end rtl_arc;移位寄存器模块电路及程序:library IEEE;use IEEE.std_logic_1164.all;entity shft_reg isport (DIR : in std_logic;CLK : in std_logic;CLR : in std_logic;SET : in std_logic;CE : in std_logic;LOAD : in std_logic;SI : in std_logic;DATA : in std_logic_vector(3 downto 0);data_out : out std_logic_vector(3 downto 0) );end shft_reg;architecture shft_reg_arch of shft_reg issignal TEMP_data_out : std_logic_vector(3 downto 0);beginprocess(CLK)beginif rising_edge(CLK) thenif CE = '1' thenif CLR = '1' thenTEMP_data_out <= "0000";elsif SET = '1' thenTEMP_data_out <= "1111";elsif LOAD = '1' thenTEMP_data_out <= DATA;elseif DIR = '1' thenTEMP_data_out <= SI & TEMP_data_out(3 downto 1);elseTEMP_data_out <= TEMP_data_out(2 downto 0) & SI;end if;end if;end if;end if;end process;data_out <= TEMP_data_out;end architecture;3.二选一数据选择器电路模块及程序:entity mux isport(do,d1:in bit;sel:in bit;q:out bit);end mux;architecture a of mux isbeginq<=(do and sel)or(not sel and d1);end a;4.加法计数器的电路模块及程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY add4 ISPORT(a1,a2,a3,a4:IN STD_LOGIC;b1,b2,b3,b4:IN STD_LOGIC;sum1,sum2,sum3,sum4:OUT STD_LOGIC;cout4:OUT STD_LOGIC);END add4;ARCHITECTURE add_arc OF add4 ISSIGNAL cout1,cout2,cout3:STD_LOGIC;COMPONENT halfaddPORT(a,b:IN STD_LOGIC;sum,hcarry:OUT STD_LOGIC);END COMPONENT;COMPONENT fulladdPORT(in1,in2,cin:STD_LOGIC;fsum,fcarry:OUT STD_LOGIC);END COMPONENT;BEGINu1:halfadd PORT MAP(a=>a1,b=>b1,sum=>sum1,hcarry=>cout1);u2:fulladd PORT MAP(in1=>a2,in2=>b2,cin=>cout1,fsum=>sum2,fcarry=>cout2);u3:fulladd PORT MAP(in1=>a3,in2=>b3,cin=>cout2,fsum=>sum3,fcarry=>cout3);u4:fulladd PORT MAP(in1=>a4,in2=>b4,cin=>cout3,fsum=>sum4,fcarry=>cout4);END add_arc;5.七段译码电路及程序:library ieee;use ieee.std_logic_1164.all;entity deled isport(datain:in std_logic_vector(3 downto 0);qout:out std_logic_vector(6 downto 0));end deled;architecture func of deled isbeginprocess(datain)beginif datain= "0000" then qout<="1111110";elsif datain= "0001" then qout<="0110000";elsif datain= "0010" then qout<="1101101";elsif datain= "0011" then qout<="1111001";elsif datain= "0100" then qout<="0110011";elsif datain= "0101" then qout<="1011011";elsif datain= "0110" then qout<="1011111";elsif datain= "0111" then qout<="1110000";elsif datain= "1000" then qout<="1111111";elsif datain= "1001" then qout<="1111011";else null;end if;end process;end func;四、系统仿真1.D触发器电路模块仿真波形:2.移位寄存器模块电路仿真波形:3.二选一数据选择器电路模块仿真波形:4.加法计数器的电路模块仿真波形:5.七段译码电路仿真波形:五﹑硬件验证说明这次设计采用的硬件电路有芯片EP1K10TC100-3,实验板上标准时钟电路、LED 显示等, 六、总结七、参考书目[1]《PLD与数字系统设计》李辉西安电子科技大学出版社 2005[2]《EDA技术及可编程逻辑器件应用实训》沈明山北京科学出版社 2004[3]《VHDL数字系统设计与高层次综合》林敏方颖立著北京: 电子工业出版社2002[4]《VHDL程序设计》曾繁泰陈美金著北京: 清华大学出版社 2001[5]《EDA技术实验与课程设计》曹昕燕周风臣清华大学出版社 2005[6]《PLD器件与EDA技术》李冬梅北京广播学院出版社2000。

球赛计时计分器课程设计

球赛计时计分器课程设计

球赛计时计分器课程设计一、课程目标知识目标:1. 学生能够理解球赛计时计分器的基本原理和功能。

2. 学生能够掌握计时计分器中数字电路的基本组成和使用方法。

3. 学生能够运用所学的数学知识,进行计时计分器相关数据的计算和分析。

技能目标:1. 学生能够运用所学知识,设计和制作一个简易的球赛计时计分器。

2. 学生能够通过实际操作,熟练使用计时计分器,并进行简单的故障排查和修复。

3. 学生能够运用信息技术手段,收集和处理球赛相关数据,提高信息处理能力。

情感态度价值观目标:1. 学生通过学习球赛计时计分器,培养对电子技术和数学知识的兴趣,提高学习积极性。

2. 学生在小组合作中,学会团结协作,互相帮助,培养团队精神和责任感。

3. 学生通过了解计时计分器在现实生活中的应用,认识到科技与生活的紧密联系,激发对科技创新的热情。

课程性质:本课程为实践性强的学科,结合电子技术和数学知识,培养学生动手操作能力和实际问题解决能力。

学生特点:六年级学生具有一定的数学基础和电子技术兴趣,喜欢动手操作,善于合作探究。

教学要求:教师应注重理论与实践相结合,引导学生通过实际操作,掌握计时计分器的原理和制作方法,并关注学生的个体差异,提高学生的综合素养。

在教学过程中,关注学生的学习成果,确保课程目标的实现。

二、教学内容1. 计时计分器原理与功能- 介绍计时计分器的基本原理,如数字电路的组成和工作原理。

- 阐述计时计分器在球赛中的应用及其重要性。

2. 数字电路基础- 讲解数字电路的基本元件,如LED、按钮、开关、集成电路等。

- 分析数字电路的基本连接方式,如串联、并联和组合电路。

3. 计时计分器设计与制作- 引导学生了解计时计分器的结构设计,学习电路图的绘制。

- 指导学生进行实际操作,利用所学知识制作简易计时计分器。

4. 计时计分器操作与维护- 介绍计时计分器的操作方法,如时间设置、计分操作等。

- 讲解常见故障的排查与修复方法,提高学生实际应用能力。

篮球计分器的课程设计

篮球计分器的课程设计

篮球计分器的课程设计一、课程目标知识目标:1. 学生理解篮球比赛的基本规则和计分方法;2. 学生掌握篮球计分器的基本结构及其工作原理;3. 学生了解电子篮球计分器在现实生活中的应用。

技能目标:1. 学生能够运用所学知识,设计并制作一个简易篮球计分器;2. 学生通过实际操作,提高动手能力和团队协作能力;3. 学生能够运用篮球计分器进行比赛计分,提高实际应用能力。

情感态度价值观目标:1. 学生培养对篮球运动的兴趣,增强体育锻炼意识;2. 学生在团队合作中,学会互相尊重、互相帮助,培养团队精神;3. 学生通过课程学习,认识到科技与生活的紧密联系,激发创新意识。

课程性质:本课程为信息技术与体育学科的融合课程,旨在通过篮球计分器的制作与应用,提高学生的实践能力和综合素养。

学生特点:六年级学生对篮球比赛有一定的了解,具备基本的动手能力,对电子设备充满好奇。

教学要求:教师需结合学生的年龄特点和认知水平,采用启发式教学,引导学生主动探究,注重培养学生的实践能力和团队协作能力。

通过课程目标的分解,使学生在课程学习过程中达到预期的学习成果。

二、教学内容1. 篮球比赛规则及计分方法介绍:- 篮球比赛基本规则- 篮球比赛得分方式- 计分器的功能与作用2. 篮球计分器结构与原理:- 电子计分器的基本结构- 计分器的工作原理- 计分器的使用方法3. 简易篮球计分器制作:- 制作材料的选择- 制作步骤及注意事项- 制作过程中的团队协作4. 篮球计分器实际应用:- 模拟篮球比赛计分- 比赛计分操作练习- 计分器使用中的问题与解决方法5. 教学内容的安排与进度:- 第一课时:篮球比赛规则及计分方法介绍- 第二课时:篮球计分器结构与原理- 第三课时:简易篮球计分器制作- 第四课时:篮球计分器实际应用教学内容参照课本相关章节,结合学生的实际情况进行适当调整。

在教学过程中,注重理论与实践相结合,让学生在掌握知识的同时,提高实践操作能力。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

东北石油大学课程设计任务书
课程单片机原理及应用课程设计
题目篮球比赛计分器
专业班级电信087 姓名周东升学号080901140722
一、设计目的:训练学生综合运用己学课程的基本知识,独立进行单片机应用技术开发工作,掌握单片机程序设计、调试,应用电路设计、分析及调试检测。

二、设计要求:
1. 应用MCS-51单片机设计一个篮球比赛计分器;
2. 能显示2个队的当前比分;根据各自得分情况进行加1,2,3分;
3. 硬件设计根据设计的任务选定合适的单片机,根据控制对象设计接口电路。

设计的单元电路必须有工作原理,器件的作用,分析和计算过程;
4. 软件设计根据电路工作过程,画出软件流程图,根据流程图编写相应的程序,进行调试并打印程序清单;
5. 原理图设计根据所确定的设计电路,利用Protel等有关工具软件绘制电路原理图、PCB板图、提供元器件清单三、参考资料:
[1] 单片微型计算机与接口技术,李群芳、黄建编著,电子工业出版社;
[2] 单片机原理及应用,张毅刚编著,高等教育出版社;
[3] 51系列单片机及C51程序设计,王建校,杨建国等编著,科学出版社;
[4] 单片机原理及接口技术,李朝青编著,北京航空航天大学出版社;
完成期限2012.3.5—2012.3.9
指导教师
专业负责人
2012年 3 月2 日
一、电路原理
1.电路原理及用途
本课题以增强型单片机AT89C51为核心,设计制作篮球比赛计分器。

针对篮球比赛中有得1、2、3分,有时还会出现加分错误的情况,本人设计的简易篮球赛计分器主要通过按键实现以下功能:能够进行加1、2、3分;能够进行减1分。

并通过3个数码管进行显示。

根据前面的设计的任务的要求,本设计任务主要具有以下几个模块:单片机模块;按键模块;数码显示模块。

本设计由单片机模块部分植入主程序,通过按键部分进行加1、2、3分以及减1分操作,最终在3个LED数码管上显示结果。

本系统是以C语言作为编辑语言,采用单片机AT89C51作为本设计的核心元件。

利用7段共阳LED作为显示器件。

在此设计中共接入了3个7段共阳LED显示器,分别表示个位,十位,百位用于记录该队的分数, 3个LED显示器显示范围可达到0~999分,能够满足篮球比赛的需要。

并设置有4个按键:K1,K2,K3,K4。

当比赛队得1分时,按下k1键加1分,得2分时按k2键加2分,得3分时按下k3键加3分。

如分数计错需减分时,每按一次k4键减1分。

2.设计框图
二、设计步骤和调试过程
1、总体设计电路
2、模块设计和实验程序
单片机模块
单片机是在一块芯片中集成了CPU、RAM、ROM、定时/计数器和多功能I/O口等计算机所需要的基本部件的大规模集成电路,又称MCU。

AT89C51是一个低电压,高性能CMOS 8位单片机,片内含8k bytes的可反复擦写的Flash只读程序存储器和256 bytes的随机存取数据存储器(RAM),器件采用ATMEL公司的高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,片内置通用8位中央处理器和Flash存储单元,功能强大的AT89C52单片机可为您提供许多较复杂系统控制应用场合。

AT89C51有40个引脚,32个外部双向输入/输出(I/O)端口,同时内含2个外中断口,3个16位可编程定时计数器,2个全双工串行通信口,2个读写口线,AT89C51可以按照常规方法进行编程,也可以在线编程。

其将通用的微处理器和Flash存储器结合在一起,特别是可反复擦写的Flash存储器可有效地降低开发成本。

本设计P1口接四个开关,分别作为比分+1、+2、+3、-1分的控制按键;P0、P2口和P3口分别按静态接口方法接两个数码管来显示比分。

按键模块
根据设计要求,该篮球赛计分器共有4个按键:K1、K2、K3、K4,分别实现的功能是加1、2、3分和减1分。

K1与P1的1脚相连,K2与P1的2脚相连,K3脚与P1的3脚相连,K4键与P1的4脚相连,4个按键共用一个地端,如图所示。

●数码显示模块
本设计显示部分采用的是7段共阳LED数码管显示,LED就是发光二极管,相对LET来说它的优势在于节能环保,保护视力,使用寿命长等优点。

数码管实际上是由7个发光管组成8字形构成的,加上小数点就是8个。

我们分别把他命名为A,B,C,D,E,F,G,H。

假设要显示一个数字2, 那么 A、B、G、E、D这5个段的发光管亮就可以了,C、F、H不亮,同时由于接法为共阳接法,那么为低电平是亮,为高电平是灭。

从高往低排列, P1.7-P1.0写成二进制为01111110,把它转化为16进制则为
A2H
●试验程序
#include<reg52.h>
#define uchar unsigned char
#define uint unsigned int 初始化
sbit P10=P1^0; 按键k1
sbit P11=P1^1; 按键k2
sbit P12=P1^2; 按键k3
sbit P13=P1^3; 按键k4
uchar code tab[]={0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09};
void main()
{
uchar k1=0;
while(1)
{ if(P10 == 0)
{
k1 += 1; 分数加1
while(P10 == 0);
}
if(P11 == 0)
{
k1 += 2; 分数加2
while(P11 == 0);
}
if(P12 == 0)
{
k1 += 3; 分数加3
while(P12 == 0);
}
if(P13 == 0)
{
k1 -= 1; 分数减1
while(P13 == 0);
}
if(k1 > 1000) 分数大于999,归0
k1 = 0;
P0 = tab[S1/100]; 百位
P3 = tab[S1%100/10]; 十位
P2 = tab[S1%10]; 个位
}
}
3、仿真及仿真结果分析
本次课程设计用到的仿真软件有Protues和Keil uvision。

在用Protues进行仿真之前,先要用KEIL UVISION软件将我们编写好的汇编程序编译。

具体方法是先新建一项目,之后输入编写的程序,输入完成后保存然后执行项目、编译,在目标文件夹内生成编译好的程序文件,其中*.HEX文件就是我们所需要的目的文件,在之后电路设计好后用于导入AT89C52中执行其功能。

然后在生成*.HEX 文件后,进入Protues软件,此时软件已自动打开一新建项目。

我们可直接在其中构建电路图,点击板面左侧按键P,在弹出的Pick devices对话框中进行元器件的选择,元器件都找到后,先进行排版再按照电路图连接,连接好后,双击AT89C52在弹出对话框中选择单片机中要输入的程序,在输入程序后,即可开始进行仿真了,选择执行后点击开关可观察到数码管亮,并能实现加1、加2、加3、减1功能。

4、实验调试结果
打开 Proteus 上的单片机控制步进电机电路图,点击 AT89C51 单片机,调用后缀名为.”HEX”的文件。

点击运行,观察现象,3个数码管均显示为0。

然后进行了如下操作:当按下k1键,从左往右(后同)的第3个数码管显示1,再按下k2键,第3个数码管显示3,按下k3键,第3个数码管显示6,再按下k4键,第3个数码管显示5,与设计的要求相符。

三、结论及心得体会
在这次的课程设计中,虽然在理论上对单片机有了简单基本的了解,但是在真正的动手操作中还是存在很多的不足,这些个不足有体现在自己的粗心大意上,更多的是对知识的应用上能力有所欠缺,以至完成这样一个简单的设计花费了不少时间。

但是最终通过自己的努力,查阅资料,询问同学,完成了这次的单片机的设计,在以后的学习中我也会更多的重视自己理论和实践的结合能力,提高自身综合能力。

最后,我还要感谢在此次课程设计中帮助过我的老师和同学。

在他们的鼎力帮助我才能顺利的完成了本次课程设计。

参考资料
[1] 单片微型计算机与接口技术,李群芳、黄建编著,电子工业出版社;
[2] 单片机原理及应用,张毅刚编著,高等教育出版社;
[3] 51系列单片机及C51程序设计,王建校,杨建国等编著,科学出版社;
[4] 单片机原理及接口技术,李朝青编著,北京航空航天大学出版社
[5] 李广第.《微机原理与接口技术》[M].湖南:湖南湖南大学出版社,2006
10。

相关文档
最新文档