eda实验要求及报告要求(1)

合集下载

南京理工大学EDA设计(一)实验报告

南京理工大学EDA设计(一)实验报告

南京理⼯⼤学EDA设计(⼀)实验报告(此⽂档为word格式,下载后您可任意编辑修改!)⽬录实验⼀单级放⼤电路的设计与仿真 (2)⼀、实验⽬的 (2)⼆、实验要求 (2)三、实验原理图 (3)四、实验过程及结果 (3)1、电路的饱和失真和截⽌失真分析 (3)2、三极管特性测试 (7)3.电路基本参数测定 (10)五、数据分析 (14)六、实验感想 (14)实验⼆差动放⼤电路的设计与仿真 (15)⼀、实验⽬的 (15)⼆、实验要求 (15)三、实验原理图 (15)四、实验过程及结果 (17)1、电路的静态分析 (17)2.电路电压增益的测量 (23)五、数据分析 (26)六、实验感想 (27)实验三反馈放⼤电路的设计与仿真 (27)⼀、实验⽬的 (27)⼆、实验要求 (27)三、实验原理图 (27)四、实验过程及结果 (28)1.负反馈接⼊前后放⼤倍数、输⼊电阻、输出电阻的测定 (28)2.负反馈对电路⾮线性失真的影响 (32)五、实验结论 (37)六、实验感想 (37)实验四阶梯波发⽣器电路的设计 (38)⼀、实验⽬的 (38)⼆、实验要求 (38)三、电路原理框图 (38)四、实验过程与仿真结果 (39)1.⽅波发⽣器 (39)2.微分电路 (40)3.限幅电路 (42)4.积分电路 (43)5.⽐较器及电⼦开关电路 (45)五、实验思考题 (46)六、实验感想 (47)写在后⾯的话对此次EDA设计的感想 (47)问题与解决 (47)收获与感受 (48)期望与要求 (48)实验⼀单级放⼤电路的设计与仿真⼀、实验⽬的1.掌握放⼤电路静态⼯作点的调整和测试⽅法2.掌握放⼤电路的动态参数的测试⽅法3.观察静态⼯作点的选择对输出波形及电压放⼤倍数的影响⼆、实验要求1.设计⼀个分压偏置的胆管电压放⼤电路,要求信号源频率10kHz(峰值1—10mV),负载电阻,电压增益⼤于80.2.调节电路静态⼯作点(调节偏置电阻),观察电路出现饱和失真和截⽌失真的输出信号波形,并测试对应的静态⼯作点值。

《EDA技术》实验报告

《EDA技术》实验报告

《EDA技术》课程实验报告姓名:学号:班级:同组者:指导教师:信息科学与工程学院2013-2014学年第二学期《EDA技术》课程实验报告学生姓名:所在班级:电信1101班指导教师:老师记分及评价:一、实验名称实验1-3:简单数字电子钟的设计(原理图输入设计方法)二、任务及要求【基本部分】1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。

要求具备使能功能和异步清零功能,设计完成后封装成一个元件。

2、同1,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个60进制同步计数器的设计,并进行时序仿真。

要求具备使能功能和异步清零功能,设计完成后封装成一个元件。

3、利用1和2所设计的60进制计数器和24进制计数器元件,采用同步的方式设计一个简单的数字电子钟并进行时序仿真,要求具有时分秒功能显示功能、使能功能和异步清零功能。

【发挥部分】1、思考:采用反馈清零法设计的计数器与反馈置数法有何不同?请用实例进行仿真。

2、如何实现电子钟时分秒连续可调的功能?三、原理图1、如图3.1为24进制计数器原理图,2、如图3.2为60进制计数器的原理图,该图在24进制的基础上进行改进3、如图3.3为电子时钟原理图,4、图3.4a、3.4b分别为24、60进制原理图的封装元件1、g[3..0]OUTPUT s[3..0]OUTPUT图3.1 24进制计数器原理图2、g[3..0]OUTPUT s[3..0]OUTPUT图3.2 60进制原理图3、图3.3 数字电子时钟4、24jinzhi insten clr clk 24co co g[3..0]s[3..0]24jinzhi2insten clr clk 60co co g[3..0]s[3..0]3.4a 24进制原理图封装图 3.4b 60进制原理图封装四、仿真及结果分析1、图4.1 24进制时序仿真图2、图4.2 60进制时序仿真图3、图4.3 电子时钟时序仿真图五、小结在实验中需要注意的是创建的文件名需要和工程名字保持一致,若不一致,在进行功能仿真和时序仿真时会出现错误。

EDA实训内容-实验报告

EDA实训内容-实验报告

一、课程设计的内容1、学习EDA仿真技术,并使用Multisim仿真软件完成指定训练内容。

2、学习SOPC技术,并在FPGA上完成相关内容,掌握和理解SOPC的真正内涵。

3、通过学习了解Synopsys软件,掌握IC设计基础。

二、课程设计的要求与数据1、严格按照分组情况进行实训;2、完成指定的设计任务;3、相关设计数据要填入指定表格;4、课程设计的报告严格按照学校指定格式执行;5、实训期间不得迟到早退,否则将严肃处理。

三、课程设计应完成的工作1、学习Multisim仿真软件,并完成以下设计任务:Lab1-4必做,Lab5-9选做任意数量。

2、学习SOPC技术,并完成以下设计任务:Task1-4选作一题,Task5必做。

3、学习IC设计技术基础,并完成以下设计任务:Synopsys IC设计基础,主要学习linux基本操作,IC设计基本流程,概念,完成Design Compiler综合工具实验。

四、课程设计进程安排五、各实验相关内容和结果。

A、SOPC技术运用SOPC技术运用这一实验要求完成的是task5和选做task1-4中的一个,这里选择的是task1. A1、Task5主要是学习创建Nios2系统和通过利用Nios开发板熟悉软件设计流程。

在软件设计流程时,改Buttons的值为0xe后点击resume运行if语句后variables的值将会改变,如图示:这里附上Nios2的原理图:A2、Task1主要完成对数据存储和读取电路的设计,这里数据存储和读取电路以一个双端口SRAM 为中心,用二进制计数器产生存取地址、以十进制计数器产生欲存储的数据,读出的数据经过LED 七段译码,送LED 数码管显示。

具体内容是用一个4 位二进制计数器产生存取地址;以一个 4位的十进制计数器产生欲存储的数据;读出的数据自然也是一个4位的十进制数,将它送给七段数码管显示出来。

这里我门主要设计4位的十进制计数器和4位的二进制计数器以及七段LED显示译码器LEDSP,这里要采用16×4bit的异步双端口SRAM模块DSRAM4而库里边的lpm. lpm_componets在这里边出了些问题,所以直接从Quartus的库里边直接找lpm_ram_dp模块。

电子电路共射放大电路EDA实验报告

电子电路共射放大电路EDA实验报告

电子电路共射放大电路EDA实验报告一、实验目的1. 掌握EDA软件的使用;2. 掌握共射放大电路的基本原理;3. 学会使用EDA软件进行电路仿真;4. 熟悉共射放大电路的性质,并进行分析。

二、实验原理1. 共射放大电路的原理共射放大电路是一种常用的晶体管放大电路。

它是指共射极作为输入端,分配少量信号功率,而将大量功率输出的一种电路。

该电路可以放大输入信号,但需要额外的电阻、电容及负载电阻等元件的配合。

共射放大电路的特点是具有较高的电压放大倍数,能够放大交流信号,但直流稳定性较差。

同时,该电路在增益较大时,输出阻抗较低,具有较强的驱动能力。

三、实验步骤及结果分析1. EDA软件的运用首先,我们打开EDA软件并进行基本设置,包括添加元器件、进行连线、添加电源等操作。

在添加元器件时,我们需要选择正确的型号,以确保电路的正常运行。

接下来,我们按照共射放大电路的原理进行搭建。

在该电路中,我们需要添加三个主要元器件,包括晶体管、电容和电阻。

晶体管起到放大信号的作用,电容和电阻则用于控制电流和增益。

3. 电路仿真及分析在电路搭建完成后,我们可以进行电路仿真。

通过对电路的输入和输出进行测量,可以得到电路的增益、频率响应等参数。

在分析电路性质时,我们需要注意到各元器件之间的相互作用,以及电路的整体响应特性。

四、实验结论通过本次实验,我们学习了EDA软件的使用方法,同时掌握了共射放大电路的原理和特性。

在电路搭建过程中,我们注意到各元器件之间的相互作用,以及电路的整体响应特性。

在后续的实验工作中,我们将进一步深入学习电路的相关知识,并掌握更多的电路设计和仿真技巧。

EDA课程设计报告

EDA课程设计报告

EDA课程设计报告实训任务:一、实训目的和要求:(1)熟练掌握keil c51集成开发环境的使用方法(2)熟悉keil c51集成开发环境调试功能的使用和dp?51pro。

net单片机仿真器、编程器、实验仪三合一综合开发平台的使用。

(3)利用单片机的p1口作io口,学会利用p1口作为输入和输出口。

(4)了解掌握单片机芯片的烧写方法与步骤。

(5)学会用单片机汇编语言编写程序,熟悉掌握常用指令的功能运用。

(6)掌握利用protel 99 se绘制电路原理图及pcb图。

(7)了解pcb板的制作腐蚀过程。

二、实训器材:pc机(一台)pcb板(一块)520ω电阻(八只)10k电阻(一只)led发光二极管(八只)25v 10μf电容(一只)单片机ic座(一块)at89c51单片机芯片(一块)热转印机(一台)dp?51pro。

net单片机仿真器、编程器、实验仪三合一综合开发平台(一台)三、实训步骤:(2)将流水灯程序编写完整并使用tkstudy ice调试运行。

(4)打开电源,将编写好的程序运用tkstudy ice进行全速运行,看能否实现任务要求。

(6)制板。

首先利用protel 99 se画好原理图,根据原理图绘制pcb图,然后将绘制好的pcb布线图打印出来,经热转印机转印,将整个布线图印至pcb 板上,最后将印有布线图的pcb板投入装有三氯化铁溶液的容器内进行腐蚀,待pcb板上布线图外的铜全部后,将其取出,清洗干净。

(7)焊接。

将所给元器件根据原理图一一焊至pcb板相应位置。

(8)调试。

先把at89c51芯片插入ic座,再将+5v电源加到制作好的功能板电源接口上,观察功能演示的整个过程(看能否实现任务功能)。

(流水灯控制器原理图)四、流水灯控制器程序的主程序:org 0000hsjmp startorg 0030hstart:mov a,0ffhmov r0,1chmov r2,12hclr cloop1:acall delaydjnz r0,loop,尽在。

EDA实验报告

EDA实验报告

实验1 Max+plusⅡ软件的使用一、实验目的:1、学习Max+plusⅡ的设计流程全过程,分别采用VHDL和原理图输入方式设计一个简单的三人表决器,学习简单组合电路的设计、仿真。

2、了解VHDL程序的基本结构。

二、实验条件1、PC机一台。

2、开发软件:Max+plusⅡ。

三、实验内容1、三人表决器的功能描述三个人分别用手指拨动开关SW1、SW2、SW3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。

表决结果用高电平显示,如果决议通过那么L2为高电平;如果不通过那么L1为高电平;如果对某个决议有任意二到三人同意,那么此决议通过,L2为高电平;如果对某个决议只有一个人或没人同意,那么此决议不通过,L1为高电平。

2、实验步骤(1)采用电路图方式,如下图(2)采用VHDL编程方式,程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY majority_voter ISPORT(SW : IN std_logic_vector(3 DOWNTO 1);L : OUT std_logic_vector(2 DOWNTO 1));END majority_voter;ARCHITECTURE concurrent OF majority_voter ISBEGINWITH SW SELECTL <= "10" WHEN "011","10" WHEN "101","10" WHEN "110","10" WHEN "111","01" WHEN OTHERS;END concurrent;。

四、仿真结果:五、结论通过仿真波形可以看出三人表决器的图形方式和VHDL编程两种方式的正确性。

eda仿真实验报告

eda仿真实验报告

eda仿真实验报告EDA仿真实验报告一、引言EDA(Electronic Design Automation)是电子设计自动化的缩写,是指利用计算机技术对电子设计进行辅助、自动化的过程。

在现代电子设计中,EDA仿真是不可或缺的一环,它可以帮助工程师验证电路设计的正确性、性能和可靠性。

本篇报告将介绍我在EDA仿真实验中的经验和收获。

二、实验背景本次实验的目标是对一个数字电路进行仿真,该电路是一个4位加法器,用于将两个4位二进制数相加。

通过仿真,我们可以验证电路设计的正确性,并观察其在不同输入情况下的输出结果。

三、实验步骤1. 电路设计:首先,我们根据给定的要求和电路原理图进行电路设计。

在设计过程中,我们需要考虑电路的逻辑关系、时序要求以及输入输出端口的定义等。

2. 仿真环境搭建:接下来,我们需要选择合适的EDA仿真工具,并搭建仿真环境。

在本次实验中,我选择了Xilinx ISE Design Suite作为仿真工具,并创建了一个仿真项目。

3. 仿真测试向量生成:为了对电路进行全面的测试,我们需要生成一组合适的仿真测试向量。

这些测试向量应该覆盖了电路的所有可能输入情况,以验证电路的正确性。

4. 仿真运行:在仿真环境搭建完成后,我们可以开始进行仿真运行了。

通过加载测试向量,并观察仿真结果,我们可以判断电路在不同输入情况下的输出是否符合预期。

5. 仿真结果分析:仿真运行结束后,我们需要对仿真结果进行分析。

通过对比仿真输出和预期结果,可以判断电路设计的正确性。

如果有不符合预期的情况,我们还可以通过仿真波形分析,找出问题所在。

四、实验结果与讨论在本次实验中,我成功完成了4位加法器的仿真。

通过对比仿真输出和预期结果,我发现电路设计的正确性得到了验证。

无论是正常情况下的加法运算,还是特殊情况下的进位和溢出,电路都能够正确地输出结果。

在实验过程中,我还发现了一些有趣的现象。

例如,在输入两个相同的4位二进制数时,电路的输出结果与输入完全一致。

eda实验报告

eda实验报告

eda实验报告
1. 实验目的
通过本次实验,了解EDA(Electronic Design Automation)的基本概念和应用模式,并通过实际操作掌握EDA工具的使用方法和流程。

2. 实验原理
EDA是电子设计自动化的缩写,是指通过计算机技术来实现电子系统设计的各个环节的自动化。

常用的EDA工具有电路仿真、电路布局、原理图设计、印刷电路板设计等。

3. 实验步骤
3.1 电路仿真
首先,我们需打开EDA工具,并导入所需的仿真器和电路元件库。

其次,我们需绘制电路图并进行仿真,根据仿真结果进一步分析和改进电路设计。

3.2 电路布局
在电路设计完成后,我们需进行电路布局,以便更精确地计算
电路性能和参数。

在布局过程中,我们需根据电路设计需求进行
元件排布,并考虑布局紧凑性和功耗等因素。

3.3 原理图设计
电路图设计是EDA工具中非常重要的一个环节,它可以帮助
我们全面了解电路设计的各个细节,确定电路元件的类型和参数,以及进一步优化电路性能。

3.4 印刷电路板设计
在进行电路仿真、布局、原理图设计后,我们需将电路设计转
化为印刷电路板(PCB)的形式。

在进行印刷电路板设计前,我
们需考虑各个细节,在选择印刷方式、器件布局、线路距离、阻
抗匹配等方面进行优化和调整。

4. 实验结论
通过本次实验,我深刻认识到EDA工具在电子设计中的应用
和重要性,并掌握了EDA工具的基本操作方法和流程。

此外,我
了解了EDA工具在电子设计和生产中的优势和局限性,对于今后
电子设计工作的开展和优化有很大的指导意义。

EDA实验报告

EDA实验报告

EDA实验报告EDA(VHDL编程)实验报告一、引言EDA (Electronic Design Automation) 是一种用于电子设计和验证的自动化工具。

VHDL(VHSIC Hardware Description Language)是一种硬件描述语言,用于描述和建模数字系统。

本实验旨在使用VHDL编程并使用EDA工具进行设计和验证。

二、实验目的1.理解并熟悉VHDL编程2.学会使用EDA工具进行设计和验证3.实践数字系统的建模和仿真三、实验过程1.VHDL编程根据实验要求,我们使用VHDL编程来实现一个4位2选1多路器。

首先,我们定义输入端口和输出端口,并声明所需的信号和变量。

然后,我们编写组合逻辑和时序逻辑以实现所需的功能。

最后,我们将实例化该多路器并指定其输入和输出端口。

2.EDA工具设计和验证我们选择了 Xilinx ISE Design Suite 作为我们的 EDA 工具。

首先,我们创建一个新的项目并选择相应的 FPGA 芯片。

然后,我们添加我们的VHDL 设计文件到项目中,并进行综合、布局和路由。

最后,我们使用仿真工具验证我们的设计。

四、实验结果经过实验,我们成功地编写并实例化了一个4位2选1多路器。

我们使用 Xilinx ISE Design Suite 进行综合、布局和路由,并成功验证了我们的设计。

五、实验总结通过本实验,我们掌握了VHDL编程和EDA工具的使用。

我们学会了使用VHDL描述数字系统,并使用EDA工具进行设计和验证。

这些技能对于电子设计和验证非常重要,并将有助于我们更好地理解和应用数字系统的原理和方法。

在实验过程中,我们也遇到了一些困难和挑战。

例如,我们可能需要更深入地了解VHDL编程的语法和方法,以及如何使用EDA工具的高级功能。

此外,我们还需要更多的实践来提高我们的设计和仿真技能。

总之,本实验有助于我们深入学习和理解数字系统的设计和验证。

通过实践和使用EDA工具,我们能够更好地应用所学知识,提高我们的设计和验证能力。

EDA设计(I)-2

EDA设计(I)-2

实单级放大电路的设计与仿真一.实验目的①掌握放大电路静态工作点的测试和调节方法。

②掌握放大电路的动态参数的测试方法。

③观察静态工作点的选择对输出波形及电压放大倍数的影二.实验要求:1.设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(峰值10mV) ,负载电阻5.1kΩ,电压增益大于50。

2.调节电路静态工作点(调节偏置电阻),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。

3.调节电路静态工作点(调节偏置电阻),使电路输出信号不失真,并且幅度最大。

在此状态下测试:①电路静态工作点值;②三极管的输入、输出特性曲线和 、be r、ce r值;③电路的输入电阻、输出电阻和电压增益;④电路的频率响应曲线和f L、f H值。

三.实验步骤1.单级放大电路原理图。

图1-1 单级放大电路图1-2 静态工作点分析2.电路饱和失真和截止失真时输出电压的波形图以及两种状态下三极管的静态工作点值。

(1)当电位计调至50%时,输出波形如图 1-3所示,观察波形,此管出现了饱和失真,对应的静态工作点如图1-4所示。

图1-3 饱和失真时的波形图图1-4 饱和失真时各静态工作点值(2)当电位计调至0%时,输出波形如图1-5所示,观察波形,此管出现了截止失真,对应的静态工作点如图1-6所示:图1-5 截止失真时的波形图图1-6 截止失真时各静态工作点值3.测试三极管输入、输出特性曲线和 、r be、r ce值的实验图以及测试结果。

(1)测试三极管Q1的输入特性曲线图1-7 测试输入特性曲线的电路图图1-8 输入特性曲线be r dx dy =÷=2.25 Kohm(2)测试三极管Q1的输出特性曲线图1-9 测试输出特性曲线的电路图图1-8 输出特性曲线ce r dx dy =÷=39.39Kohm(3)β值的计算:c b I I β=÷=113.464. 电路工作在最大不失真状态下: (1) 三极管静态工作点的测量值;b I =13.83550e-6 A cI =1.56972e-3 A CEQ U =5.07424V(2) 输出波形图以及放大倍数,并与理论计算值进行比较图1-9 最大不失真时的波形图U O i A U U =÷=103.95|u A '|=|-β(4R //5R )÷be r |=95.25e=|uA '-UA | ÷ u A ' ⨯100%≈9.1%(3) 测量输入电阻、输出电阻和电压增益的实验图以及测试结果,并和理论计算值进行比较。

EDA秒表设计 实验报告

EDA秒表设计 实验报告

EDA课程设计报告——基于VHDL语言的秒表设计课程名称:EDA技术院系:地球物理及信息工程学院专业班级:电子信息工程08级2班学生姓名:学号:指导老师:完成时间:2011年5月18日秒表设计一. 设计要求利用EDA实验箱,通过VHDL语言进行编程,设计一个简单的秒表,并用EDA实验箱进行实现,具体设计要求如下:(1)有使能、暂停、继续、秒表计数功能;(2)带有异步复位功能;(3)显示分、秒信息,若需要,显示秒表信息。

二. 设计的作用、目的在本次设计中,可以简单的了解EDA技术的应用以及VHDL语言编写的方法。

通过设计一个秒表,可以掌握用VHDL设计多位加法计数器的方法,尤其是调整时钟使得每过一秒就改变一个数,达到设计的要求。

三. 设计的具体实现1.系统概述本次系统设计主要分三个部分,一是通过VHDL语言设计一个八位的加法计数器,来实现秒表的计时功能;二是通过调整时钟使秒表计数为每秒改变一个数;三是加入一些控制按键,实现使能、暂停、继续等功能。

2.程序具体设计秒表显示共有6位,两位显示分,两位显示秒,十分秒和百分秒各一位。

设计时使用一个计数器,随着时钟上升沿的到来循环计数,每计数一次,百分秒位加一,通过百分秒位满十进位来控制十分位的计数,十分位满十进位,依次类推,实现秒表计数。

为实现秒位的计时精确,百秒位必须以0.01秒的时间间隔计数,即时钟的频率是100Hz。

为此,本设计采用3MHz的时钟频率通过分频得到100Hz的时钟频率,再送给控制时钟以得到比较精确的CLK信号。

具体程序设计见附录。

引脚定义如下:其中,时钟信号CLK为3MHz的时钟频率,分频后得到的时钟为CLK2,输出引脚CLK2和输入引脚CLK2在外部相连,实现将分频后的时钟送入。

3.调试应用MAX+plus II软件编译调试实验控制程序, 仿真运行结果如下:(1)给时钟后,实现开始功能:开始键按下(STA=‘1’)后,秒表计数开始。

(2)给时钟后,实现暂停功能:从上图可以看出暂停键按下后(POS=‘1’),输出(CQ)保持不变,直到暂停键再次按下(POS=‘0’),输出才继续计数,从而实现了暂停的功能。

EDA实验报告8位二选一多路选择器

EDA实验报告8位二选一多路选择器

学号:201400800093 姓名:胡玲专业:电子信息科学与技术科目:电子设计自动化EDA 实验名称:8位二选一多路选择器
一.实验要求
实验要求:1通过有限状态机的VHDL设计初步了解VHDL表达和设计电路的方法2根据学过的一位二选一选择器改进VHDL语言而掌握8位二选一多路选择器
三.RTL图
四.波形仿真图:
由源代码可知a_fin=a_dis,b_fin=b_dis,但由波形仿真图可知在同一时刻它们并不一定相等,而是存在延迟,结果s_fin也是和对应的输入值存在延迟,如果不考虑延迟,结果正确。

例:t=40ns时输入是1010+0001结果是1011,无进位。

五:实验结果
1.用开关sw17-14表示输入的四位二进制A(17-14分别表示A0-A3),并用LEDR17-14显示。

sw13-10表示输入四位二进制B(13-10分别表示B3-B0)并用LEDR13-10显示。

KEY0用于复位KEY1用于做时钟信号LEDR3-0表示输出的四位二进制数LEDG8(绿灯)显示进位输出。

2.按下复位键—用开关确定输入值(例如输入为1111+1000)—按下时钟信号键—可显示红灯为0111以及绿灯亮有进位。

3.可知结果正确。

六.实验体会及心得
1.做实验前先预习实验内容,学以致用和大胆改进很重要。

2.代码写错没关系,几十个错误也没关系,不能复制粘贴那样学不到东西,与同学探讨很有意义,最后结果出来再回过头研究代码会觉得更了解每一步代码。

3.实验过程中切记避免心浮气躁,急于出结果,如果实在没思路可以看同学代码但一定要看懂在之上进行改变看结果。

南理工EDA1实验报告-模电仿真

南理工EDA1实验报告-模电仿真

EDA设计(Ⅰ)实验报告院系:电子工程与光电技术学院专业:电子信息工程学号:914104姓名:指导老师:宗志园目录实验一单级放大电路的设计与仿真 (2)一、实验目的 (2)二、实验要求 (2)三、实验原理图 (3)四、三极管参数测试 (3)五、电路静态工作点测试 (6)六、电路动态参数测试 (8)七、频率响应测试 (10)八、数据表格 (10)九、理论分析 (11)十、实验分析 (11)实验二差动放大电路的设计与仿真 (12)一、实验目的 (12)二、实验要求 (12)三、实验原理图 (12)四、三极管参数测试 (13)五、电路工作测试 (18)六、电路增益测试 (18)七、数据表格 (21)八、理论分析 (22)九、实验分析 (22)实验三负反馈放大电路的设计与仿真 (23)一、实验目的 (23)二、实验要求 (23)三、实验原理图 (24)四、电路指标分析 (25)五、电路幅频特性和相频特性 (30)六、电路的最大不失真电压 (31)七、数据表格 (32)八、误差分析 (33)九、实验分析 (33)实验四阶梯波发生器电路的设计 (34)一、实验目的 (34)二、实验要求 (34)三、实验原理图 (35)四、实验原理简介 (35)五、电路分级调试步骤 (36)六、误差分析 (40)七、电路调整方法 (40)八、实验分析 (40)实验一单级放大电路的设计与仿真一、实验目的(1)设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz,峰值5mV ,负载电阻5.1kΩ,电压增益大于70.(2)调节电路静态工作点,观察电路出现饱和失真、截止失真和正常放大的输出信号波形,并测试对应的静态工作点值.(3)在正常放大状态下测试:1.三极管的输入、输出特性曲线和β、r be、r ce值;2.电路的输入电阻、输出电阻和电压增益;3.电路的频率响应曲线和f L、f H值.二、实验要求(1)给出单级放大电路原理图.(2)实验过程中各个参数的电路仿真结果:1.给出测试三极管输入、输出特性曲线和β、r be、r ce值的仿真图;2.给出电路饱和失真、截止失真和不失真的输出信号波形图;3.给出测量输入电阻、输出电阻和电压增益的仿真图;4.给出电路的幅频和相频特性曲线(所有测试图中要有相关仪表或标尺数据).(3)给出相关仿真测试结果.(4)理论计算电路的输入电阻、输出电阻和电压增益,并和测试值做比较,分析误差来源.三、实验原理图图1-1 实验原理图四、三极管参数测试图1-2 电路静态工作点(1)输入特性图1-3 测量输入特性曲线电路图图1-4 输入特性曲线(2)输出特性图1-5 测量输出特性曲线电路图图1-6输出特性曲线(3)根据图1-4及公式i V rb be be ∆∆= , 可计算出r be = . (4)根据图1-6及公式V r c CE ce ∆∆= ,可计算出r ce = . (5)根据图1-2.五、电路静态工作点测试(1)饱和失真图1-7饱和失真波形图1-8饱和失真数据(2)截止失真图1-9截止失真波形及其数据(3)正常放大黄色曲线为输入波形,蓝色曲线为输出波形.图1-10正常放大波形六、电路动态参数测试(1)Av图1-11 Av测量电路计算,得到.(2)Ri图1-12 Ri测量电路计算,得到.(3)Ro图1-13 Ro测量电路计算,得到. 七、频率响应测试图1-14 频率响应测试八、数据表格表1-1 静态工作点调试数据表1-2 电路正常工作数据九、理论分析(1)Ri理论值:.误差:.(2)Ro理论值:.误差:.(2)Av理论值:.误差:.十、实验分析本实验是EDA的第一项实验,在老师的指导下我初步了解了电路仿真的基础知识和Multisim软件的使用方法,并完成了第一个电路:单机放大电路的设计与参数测量。

《电路与模拟电子技术》EDA实验报告(实验一)

《电路与模拟电子技术》EDA实验报告(实验一)

《电路与模拟电子技术》EDA实验报告(实验一)
一、实验目的:
1、验证叠加原理的正确性;
2、验证戴维南定理;
二、实验内容
求下图电路的戴维南等效电路,用此电路验证叠加原理的正确性。

1、戴维南等效电路。

第一步:测ab间的开路电压
第二步:测ab间的短路电流
第三步:求等效电阻:
R=18V/4.5A=4Ω
第四步:ab间的电流为I=18V/(4+8)Ω =1.5A
2、验证叠加原理的正确性
第一步:电路中只有电流源作用时,测出ab间的电流
第二步:电路中只有电压源作用时,测出ab间的电流
第三步:算出ab间的电流I=2A-500mA=1.5A
三、实验总结:
在仿真的过程中出现的问题:
验证叠加原理的正确性的第一步时,遇到错误,截图如下:
原因可能为直接在电压源的两端加了一根导线使其短路,将电压源去掉解决了该问题。

心得:此次实验让我掌握了模拟电路中万能表的使用以及multism7
简单的操作,让我对叠加原理和戴维南定理有了更深刻的了解;实验中遇到到错误让我明白做事要细心,不要想当然地按自己认为的去做。

EDA实验报告

EDA实验报告
cin: in std_logic;
sum: out std_logic_vector(n downto 1);
cout: out std_logic
);
end siwei;
architecture Behavioral of siwei is
component quanjia
port (a,b,cin:in std_logic;
when"110"=>Y<="01000000";
when"111"=>Y<=;
whenothers=>null;
endcase;
elseY<=;
endif;
endprocess;
endBehavioral;
仿真结果:
2. 60进制计数器
实验程序:
library IEEE;
use Uncomment the following lines to use the declarations that are
仿真结果:
实验二、四位全加器和8位移位寄存器设计实验
1、实验目的
1)学习了解加法器工作原理。
2)学习用VHDL语言设计全加器的设计方法。
3)学习使用元件例化的方法设计多位加法器。
4)了解移位寄存器的工作原理
5)学习移位寄存器设计方法
2、实验内容
1)用VHDL语言设计全加器。
2)用元件例化方法设计一个四位二进制加法器。
验一:译码器及计数器设计实验
1、实验目的
1)复习二进制译码器的功能。
2)学习VHDL语言源程序输入方法。
3)学习VHDL语言源程序检查和修改。

EDA实验报告

EDA实验报告

EDA实验报告一、实验目的本次 EDA 实验的主要目的是熟悉电子设计自动化(EDA)软件的使用,掌握数字电路的设计、仿真和实现流程,提高对数字逻辑电路的理解和设计能力。

二、实验设备与环境1、计算机一台2、 EDA 软件(如 Quartus II 等)三、实验原理1、数字逻辑基础数字电路中的基本逻辑门包括与门、或门、非门、与非门、或非门等。

通过这些基本逻辑门的组合,可以实现各种复杂的数字逻辑功能。

2、组合逻辑电路组合逻辑电路的输出仅取决于当前的输入,不存在存储单元。

常见的组合逻辑电路有加法器、编码器、译码器等。

3、时序逻辑电路时序逻辑电路的输出不仅取决于当前的输入,还与电路的过去状态有关。

常见的时序逻辑电路有计数器、寄存器等。

四、实验内容1、设计一个简单的加法器使用基本逻辑门设计一个两位加法器,输入为两个两位的二进制数A 和 B,输出为它们的和 S 以及进位 C。

2、设计一个 4 位计数器实现一个 4 位的计数器,能够在时钟信号的上升沿进行计数,计数范围为 0 到 15。

3、设计一个数码管显示译码器将输入的 4 位二进制数转换为数码管的 7 段显示编码,实现数字 0 到 9 的显示。

五、实验步骤1、加法器设计(1)打开 EDA 软件,创建一个新的项目。

(2)使用原理图输入方式,绘制出加法器的逻辑电路图,包括两个半加器和一个或门。

(3)对设计进行编译,检查是否存在语法错误。

(4)创建仿真文件,设置输入信号的激励,进行功能仿真,观察输出结果是否符合预期。

2、计数器设计(1)在项目中新建一个模块,使用 Verilog HDL 语言描述计数器的功能。

(2)编写测试代码,对计数器进行仿真验证。

(3)将计数器下载到硬件开发板上,通过观察实际的输出结果验证其功能。

3、数码管显示译码器设计(1)同样使用原理图输入方式,设计数码管显示译码器的逻辑电路。

(2)进行编译和仿真,确保译码器的功能正确。

(3)将译码器与计数器连接起来,实现数码管的动态显示。

EDA实验报告一

EDA实验报告一

EDA实验报告| 实验一:全加器设计一.实验目的:1.通过实验初步了解EDA的基本概念;2.初步掌握用VHDL语言及原理图进行单元设计的方法;3.初步了解层次设计法;4.熟悉EDA开发软件的操作。

二.实验内容设计一个两位全加器,并用发光二极管显示结果。

三.实验要求全加器的三个输入(二个数字输入,一个进位输入)用实验箱中W1,SW2,SW3控制,二个输出用发光管LED1,LED2显示。

整个设计采用层次设计方法,顶层文件采用原理图输入法。

整个电路设计思路分三部分:1半加器电路设计;2.全加器电路设计,是在半加器的基础上设计的;3.数据输入,输出电路设计。

四.实验步骤1.半加器设计:编写halfaddr.vhd(编辑、设为当前项目、选择器件、编译、仿真无误)2.全加器设计:编写addr.vhd(用结构描述方法的方法、用COMPONENT halfaddr作两次半加构成全加器;编辑、设为当前项目、选择器件、编译、仿真无误)3.顶层文件设计:编辑好endaddr.gdf(用原理图输入设计方法、全加器符号、输入输出端口信号、设为当前项目、选择器件、编译、仿真无误),并观察系统设计的层次结构4.管脚锁定:根据EPF10K10引脚对应表分配好管脚,并进行定时分析6.实验编程下载:确认管脚分配正确,跳线正确,下载程序到芯片。

观察实验现象SW1(a30) LED1(s16) LED2(co17)0 1 0 ▲1 0 0 ▲1 1 0 ▲0 0 1 ▲0 1 1 ▲1 0 1 ▲1 1 1 ▲▲(其中▲代表LED灯亮)五.思考题参考以上方法产生的一位全加器endaddr.gdf:设计出二位全加器。

以下是2endaddr.gdf:经老师验证,结果正确。

六.实验心得:首先谢谢赵老师的细心和悉心帮助。

虽然先前有先使用过软件,但没琢磨透,因此第一次实验都是在尽量学会使用这个软件^_^ 如今基本掌握做实验可以把自己的思路和课本的理论结合起来,受益匪浅;而能把实验正确结果做出来又是很快意的。

EDA工具软件的使用实验报告

EDA工具软件的使用实验报告

实验报告一、实验名称:EDA 工具软件的使用二、实验目的:初步掌握软件的使用方法;初步掌握设计电路的图形输入法。

三、实验任务:利用图形输入法,输入、仿真、简单的逻辑电路,以掌握软件的使用方法。

四、实验设备与元器件:1.计算机2.Quartus Ⅱ软件五、实验要求:1. 采用与、或、非门,设计异或门,仿真其功能并与理论值比较;2. 采用与、或、非门,设计组合电路F ,仿真其功能并与理论值比较。

六、实验设计说明:1.异或门逻辑表达式为:F=B A ⊕=A B +A B2.组合电路的逻辑表达式为:F=)(C A C A B BC AC +++七、实验内容和步骤:1.异或门:真值表:*Quartus Ⅱ软件设计电路:在Quartus Ⅱ软件里建立 工程—Block Diagram/Schematic File 文件后按异或门逻辑表达式设计好电路 实验电路图:按上图设计好电路后,通过编译无错后再进行仿真。

AB F 00 0 01 1 11 0 1 0 1仿真图:由图知仿真结果延迟了约11ns,其结果与列出的真值表相同。

所以该实验电路是正确的。

2.组合电路:真值表:A B C F0 0 0 00 0 1 00 1 0 01 0 0 01 1 0 01 0 1 10 1 1 01 1 1 1在QuartusⅡ软件里建立工程—Block Diagram/Schematic File文件后按组合电路逻辑表达式设计好电路。

组合电路图:按上图设计好电路后,通过编译无错后再进行仿真。

仿真图:由图知仿真结果延迟了约10ns,其结果与列出的真值表相同。

所以该实验电路是正确的。

八、实验总结:通过本次实验已经初步掌握了QuartusⅡ软件的使用方法,并掌握了如何利用QuartusⅡ软件进行利用图形输入法输入和仿真简单的逻辑电路。

eda课程设计实验报告

eda课程设计实验报告

eda课程设计实验报告一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。

2. 学生能运用所学知识,设计并实现基本的数字电路。

3. 学生了解数字电路的设计流程,掌握设计规范,具备初步的电路分析能力。

技能目标:1. 学生能独立操作EDA软件,完成电路的原理图绘制、仿真和布局布线。

2. 学生通过实验报告的撰写,提高实验数据分析、总结归纳的能力。

3. 学生在小组合作中,提高沟通协调能力和团队协作能力。

情感态度价值观目标:1. 学生培养对电子科学的兴趣,激发创新意识,增强实践能力。

2. 学生在实验过程中,形成严谨的科学态度,提高问题解决能力。

3. 学生通过课程学习,认识到科技发展对国家和社会的重要性,增强社会责任感。

课程性质:本课程为实践性较强的电子设计课程,旨在培养学生的实际操作能力、创新意识和团队合作精神。

学生特点:六年级学生具有一定的电子知识基础,好奇心强,喜欢动手实践,但需加强对理论知识的理解和应用。

教学要求:结合学生特点,注重理论与实践相结合,充分调动学生的积极性,提高学生的实践能力和创新能力。

将课程目标分解为具体的学习成果,便于教学设计和评估。

二、教学内容根据课程目标,本章节教学内容主要包括以下几部分:1. EDA基本概念与工具介绍- 电子设计自动化原理简介- 常用EDA软件功能与操作方法2. 数字电路设计基础- 数字电路基本元件及功能- 原理图绘制与仿真分析3. 布局布线与PCB设计- PCB设计流程与方法- 布局布线技巧与规范4. 实验报告撰写- 实验数据整理与分析- 实验总结与反思教学大纲安排如下:第一周:- EDA基本概念与工具介绍- 数字电路基本元件及功能第二周:- 原理图绘制与仿真分析第三周:- 布局布线与PCB设计第四周:- 实验报告撰写教学内容与教材关联性:本教学内容与教材《电子技术基础与实践》第六章“电子设计自动化”相关章节紧密相连,确保了教学内容的科学性和系统性。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验一全加器的设计(一)实验目的以四位二进制全加器为例熟悉利用QuartusII的原理图输入方法和文本输入法设计简单组合电路;学习多层次工程的设计方法。

(二)实验要求⑴用文本方法实现一位全加器,再采用层次设计法用原理图输入完成4位全加器的设计;⑵给出此项设计的仿真波形;⑶用发光LED指示显示结果。

(三)实验流程1、创建1位全加器工程,新建verilog文本文件,编译,转换为.bsf符号文件。

2、同一文件夹下创建4位全加器工程,新建bdf原理图文件并编译。

3、新建vwf波形文件,时序仿真验证加法功能。

4、引脚锁定并再次编译。

5、添加.sof文件下载测试。

(四)实验效果实验二模可变计数器的设计(一)实验目的1、进一步熟悉EDA开发板和QuartusⅡ软件的使用方法;2、学习静态数码管的使用;3、学习计数器的设计、仿真和硬件测试;学习7段数码显示译码器设计;(二)实验要求设计模可变计数器,可任选模的大小(例模15、模115),实验要求:(1)设置一位控制位M,要求M=0:模X计数;M=1:模Y计数;(2)计数结果用3位数码管显示,显示BCD码;(3)给出此项设计的仿真波形;(4)选择实验电路验证此计数器的功能。

设置涉及2个开关和一个按键,一个开关控制改变模值,另一开关作为使能控制,按键作为异步清0。

(三)实验程序module counter(CLK,RST,EN,M0,HEX0,HEX1,HEX2,dataout0,dataout1,dataout2);input CLK,RST,EN,M0;//时钟,复位,复位用KEY0output[6:0]HEX0,HEX1,HEX2;output[3:0]dataout0,dataout1,dataout2;reg[3:0]dataout0,dataout1,dataout2;reg[6:0]HEX0,HEX1,HEX2;reg[7:0]MAX;reg[32:0]cnt;reg CLK1;parameter CNT_MAX=1;always@(posedge CLK or negedge RST)beginif(!RST)begin cnt<=0;CLK1<=0;endelse if(cnt==CNT_MAX)begin cnt<=0;CLK1=~CLK1;endelse cnt<=cnt+1;endalways@(M0)if(M0==1)MAX<=8'd15;else MAX<=8'd105;wire[7:0]num;assign num=dataout0+dataout1*10+dataout2*100;always@(posedge CLK1or negedge RST)//always@(posedge CLK or negedge RST)beginif(!RST)dataout0<=0;else if(EN&&num<MAX)beginif(dataout0==9)dataout0<=0;else dataout0<=dataout0+1;endelse dataout0<=0;endalways@(posedge CLK1or negedge RST)//always@(posedge CLK or negedge RST)beginif(!RST)dataout1<=0;else if(EN&&num<MAX)beginif(dataout0==9&&dataout1==9)dataout1<=0;else if(dataout0==9)dataout1<=dataout1+1;endelse dataout1<=0;endalways@(posedge CLK1or negedge RST)//always@(posedge CLK or negedge RST)beginif(!RST)dataout2<=0;else if(EN&&num<MAX)beginif(dataout0==9&&dataout1==9&&dataout2==9)dataout2<=0;else if(dataout0==9&&dataout1==9)dataout2<=dataout2+1;endelse dataout2<=0;endalways@(*)begincase(dataout0)7'd0:HEX0<=7'b1000000;7'd1:HEX0<=7'b1111001;7'd2:HEX0<=7'b0100100;7'd3:HEX0<=7'b0110000;7'd4:HEX0<=7'b0011001;7'd5:HEX0<=7'b0010010;7'd6:HEX0<=7'b0000010;7'd7:HEX0<=7'b1111000;7'd8:HEX0<=7'b0000000;7'd9:HEX0<=7'b0010000;default:HEX0<=7'b1111111;endcaseendalways@(*)begincase(dataout1)7'd0:HEX1<=7'b1000000;7'd1:HEX1<=7'b1111001;7'd2:HEX1<=7'b0100100;7'd3:HEX1<=7'b0110000;7'd4:HEX1<=7'b0011001;7'd5:HEX1<=7'b0010010;7'd6:HEX1<=7'b0000010;7'd7:HEX1<=7'b1111000;7'd8:HEX1<=7'b0000000;7'd9:HEX1<=7'b0010000;default:HEX1<=7'b1111111;endcaseendalways@(*)begincase(dataout2)7'd0:HEX2<=7'b1000000;7'd1:HEX2<=7'b1111001;7'd2:HEX2<=7'b0100100;7'd3:HEX2<=7'b0110000;7'd4:HEX2<=7'b0011001;7'd5:HEX2<=7'b0010010;7'd6:HEX2<=7'b0000010;7'd7:HEX2<=7'b1111000;7'd8:HEX2<=7'b0000000;7'd9:HEX2<=7'b0010000;default:HEX2<=7'b1111111;endcaseendendmodule(四)实验波形实验三序列信号发生和检测器设计(一)实验目的:学习一般有限状态机的设计,用状态机实现序列发生和检测器的电路设计。

(二)实验要求:先实现串行序列发生器的设计,产生序列0111010011011010;再设计检测器,若检测到串行序列11011则输出为“1”,否则输出为“0”,并对其进行仿真和硬件测试,选择实验电路验证功能。

下载程序后,可通过led串行输出序列信号,另用五个led灯来观测待检测序列,当11011五个全部出现在led上时,标识位灯M亮起,说明检测到“11011”的信号,即符合设计要求。

产生的序列和检测的序列值可任选。

发生器和检测器最好异步,以确保能检测到,可以将时钟经非门后再接入检测器。

(三)序列检测状态转移图(四)实验程序module xulie(clk,rst,en,date,SOUT); parameter s0=1,s1=2,s2=3,s3=4,s4=5,s5=6;reg[5:0]ST,NST;input clk,rst,en;output date,SOUT;wire SOUT;reg[8:0]cnt;reg[4:0]date;reg[15:0]sdate;/*reg[30:0]counter;reg clk_1s;always@(posedge clk or negedge rst)beginif(!rst)begincounter<=0;clk_1s<=0;endelse if(counter<25000000)//25000000时间为1s counter<=counter+1;elsebegincounter<=0;clk_1s<=~clk_1s;endend*/always@(posedge clk or negedge rst)beginif(!rst)beginST<=s0;date<=5'b00000;sdate<=16'b0111010011011010;cnt<=15;endelse if(en)beginST<=NST;date[4:1]<=date[3:0];date[0]<=sdate[cnt];cnt<=cnt-1;;endelse cnt<=0;case(ST)s0:begin if(date[0]==1'b1)NST<=s1;else NST<=s0;end s1:begin if(date[0]==1'b1)NST<=s2;else NST<=s0;end s2:begin if(date[0]==1'b0)NST<=s3;else NST<=s2;end s3:begin if(date[0]==1'b1)NST<=s4;else NST<=s0;end s4:begin if(date[0]==1'b1)NST<=s5;else NST<=s2;end s5:begin if(date[0]==1'b1)NST<=s1;else NST<=s0;end default:NST<=s0;endcaseendassign SOUT=(NST==s5);endmodule(五)仿真波形实验四交通灯控制(一)实验目的:学习设计优化和状态机的设计。

相关文档
最新文档