QUARTUSⅡ10波形仿真(ModelSim)入门指南

合集下载

Quartus-II调用modelsim仿真方法

Quartus-II调用modelsim仿真方法

方法1:在quartus ii 11.0环境下,编辑生成并修改quartus生成的test bench文件,采用手动设置激励形成波形(有很多缺陷)。

具体步骤:1.新建工程在test目录下创建工程fulladder然后直接finish;2.编写VHDL:New一个VHDL FILE,输入代码:library ieee;use ieee.std_logic_1164.all;ENTITY fulladder IS PORT( a,b,cin : IN STD_LOGIC;s,cout: OUT STD_LOGIC);END fulladder;ARCHITECTURE fulladder OF fulladder ISBEGIN s<= a XOR b XOR cin;cout <=(a AND b) OR (a AND cin) OR (b AND cin);END fulladder;保存在test目录下,文件名为默认fulladder。

3. 在Quartes II 11.0界面菜单栏中选择 Tools-->options选项卡中选中EDA tool options,在该选项卡中下面的ModelSim-Altera一项指定安装路径为(如d:/Altera/11.0/modelsim_ae/win32aloem)4.在Quartes II 11.0界面菜单栏中选择Assignments->Settings。

选中该界面下EDA Tool settings中的Simulation一项;Tool name中选择ModelSim-Altera;Format for output netlist中选择开发语言的类型VHDL或其它,如图:然后点击APPLY应用和OK。

5.设置完成后,编译工程:在Quartus II 11.0界面菜单栏中选择菜单栏选择Processing-->start Compilation,等待编译,无错后会在test目录下生成simulation目录,执行下一步。

QUARTUSⅡ10波形仿真(ModelSim)入门教程

QUARTUSⅡ10波形仿真(ModelSim)入门教程

QUARTUSⅡ10仿真(ModelSim)入门教程平台软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition内容1 设计流程使用ModelSim仿真的基本流程为:图1.1 使用ModelSim仿真的基本流程2 开始2.1 新建工程打开ModelSim后,其画面如图2.1所示。

图2.1 ModelSim画面1. 选择File>New>Preject创建一个新工程。

打开的Create Project对话框窗口,可以指定工程的名称、路径和缺省库名称。

一般情况下,设定Default Library Name为work。

指定的名称用于创建一个位于工程文件夹内的工作库子文件夹。

该对话框如图2. 2所示,此外还允许通过选择.ini文件来映射库设置,或者将其直接拷贝至工程中。

图2.2 创建工程的对话框2. 按照图2.3所示,设置Project Name为LED_FLOW,Project Location为D:/led_flow。

图2.3 输入工程信息当单击OK按钮后,在主体窗口的下方将出现Create Project标签,如图2.4所示。

图2.4 Project标签3. 之后,将出现Add Items to the Project的对话框,如图2.5所示。

图2.5 在工程中,添加新项目2.2 在工程中,添加新项目在Add Items to the Project对话框中,包括以下选项:∙Create New File——使用源文件编辑器创建一个新的Verilog、VHDL、TCL或文本文件∙Add Existing File——添加一个已存在的文件∙Create Simulation——创建指定源文件和仿真选项的仿真配置∙Create New Folder——创建一个新的组织文件夹1. 单击Create New File。

打开图2.6所示窗口。

Quartus调用ModelSim仿真教程

Quartus调用ModelSim仿真教程
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
选择 Specify Design Unit 工作库下的目标文件,点 Next,出现下面对话框
可以指定 Testbench 的名称以及要编译到的库等, 此处我们使用默认设置直接点 Finish。 这时在 Testbench 内会出现对目标文件的各个端口的定义和调用函数
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
5.4 编译待测文件
9 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ @ 珠联璧合 xlzhu xlzhu@
调用 Model Sim 仿真教程 Quartus Quartus调用 调用Model ModelSim Sim仿真教程
2.3 破解详解 (1)产生 License 运行安装文件夹的 crack 目录下的 keygen.exe 文件,点击 generate
生成 license.dat 文件
(2)拷贝 License 文件到安装目录 将生成的 license 文件复制到 modelsel se 的安装目录 D:\Modeltech_6.0\win32 下。 (3)建立环境变量 右键点击桌面我的电脑图标,属性->高级->环境变量->(系统变量)新建。按下图所示 内 容 填 写 , 变 量 值 内 如 果 已 经 有 别 的 路 径 了 , 请 用 “;” 将 其 与 要 填 的 路 径 分 开 。 \license.dat LM_LICENSE_FILE = D:\Modeltech_6.0\win32 D:\Modeltech_6.0\win32\license.dat
安装目录下有安装文件 mti60se 和破解文件夹 crack。 破解文件夹内存放 keygen 和安装 说明。

QUARTUSⅡ10.0使用入门

QUARTUSⅡ10.0使用入门

QUARTUSⅡ10.0使用入门1.建立工程运行QuatrusII软件(以下简称Q2),建立工程,File->New Project Wizad如下图点击New Project Wizard 后弹出指定工程名的对话框,在Diectory, Name, Top-Level Entity中如下图填写:按Next按钮,出现添加工程文件的对话框:在这里我们先不用管它,直接按Next进行下一步,选择FPGA器件的型号:栏选上“Show Advanced Devices”以显示所有的器件型号。

点击Next出现对话框:这里是选择其它EDA工具的对话框,我们用Q2的集成环境进行开发,因此这里不作任何改动。

按Next进入工程的信息总概对话框:按Finish按钮即建立一个空项目。

2.建立顶层图执行File->New,弹出新建文件对话框:选择“Block Diagram Schematic File”按OK即建立一个空的顶层图,缺省名为“Block1.bdf”,我们把它另存为(File->Save as),接受默认的文件名,并将“Add file to current project”选项选上,以使该文件添加到工程中去。

如图所示:3.添加逻辑元件(Symbol)双击顶层图图纸的空白处,弹出添加元件的对话筐:在Libraries里寻找所需要的逻辑元件,如果知道逻辑元件的名称的话,也可以直接在Name一栏敲入名字,右边的预览图即可显示元件的外观,按OK后鼠标旁边即拖着一个元件符号,在图纸上点击左键,元件即安放在图纸上。

在图纸上分别添加非门(not)、输入(input)、输出(output)三个symbol,如图所示:连线,将鼠标移到symbol连线端口的那里,鼠标变成图示模样:,按下左键拖动鼠标到另一个symbol的连线端。

本例中,这三个symbol的连线如下图所示:分别双击input和output symbol的名字“pin_name”、“pin_name1”,将它们的名字改为Key1,LED1:4.分配管脚为芯片分配管脚可以用QuartusII软件里的“Assignments->Pins”菜单,也可以用tcl脚本文件。

quartus的modesim仿真过程

quartus的modesim仿真过程

1.撰写testbench激励文件:单击Quartus中的菜单‘Processing’->‘start’->‘Start Test Bench Template Writer’,如图一所示,自动生成激励模板(*.vt)。

打开该模板,并填写完整,保存,编译。

Testbench 常用语:●clk<= not clk after 10 ns;●process beginwait for 10 ns; clk<='1';wait for 10 ns; clk<='0';end process;●en <= '0' after 0 ns,'1' after 50 ns,'0' after 850 ns,'1' after 900 ns;2. Modelsim和Quartus的连接。

单击Quartus中的菜单‘Tools’->‘options’,如图二所示。

随后出现图三所示的窗口,点击左边菜单树下的‘Eda Tool Options’,再将原先modelsim的启动路径拷贝到‘Modelsim’的空白处,或单击右侧的‘。

’选择启动路径。

最后点击Ok,连接生效。

图二图三3. 设置编译信息。

单击Quartus中的菜单‘Assignment’->‘Settings’,如图四所示。

随后进入图五所示的Settings 界面,点击左侧菜单树‘EDA Tool Settings’下的‘Simulation’,右边的窗口出现Simulation 界面,并进行如图五的设置。

其中点中‘Compile test bench’左边的圈圈后,再点击右侧的按钮‘Test Benches。

’进入图六所示的界面,点击右上按钮‘New’进入图七所示的‘New Test Bench Settings’界面,填入相关信息:激励文件名(1),激励文件实体名(2),激励文件中的例化名(3),最大仿真时间(4),和激励文件的具体路劲(5)。

Quartus_ii_10.0教程(包含modelsim仿真)word精品文档12页

Quartus_ii_10.0教程(包含modelsim仿真)word精品文档12页

Quartus ii 10.0教程说明本文的部分章节,来源于本人翻译的Terasic DE2-115的英文入门文档。

平台硬件:艾米电子EP2C8-2010增强版套件软件:Quartus II 10.0 + ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition内容•典型的CAD流程•开始•新建工程•录入Verilog设计•编译设计•引脚分配•仿真设计电路•编程及配置到FPGA器件•测试设计电路典型的CAD流程计算机辅助设计(CAD)软件,使得运用可编程逻辑器件实现所需逻辑电路,变得容易。

比如现场可编程门阵列(FPGA)。

典型的FPGA CAD设计流程如图1所示。

图1 典型的FPGA CAD设计流程CAD流程包含以下步骤:•设计输入——所需电路可通过原理图方式或硬件描述语言方式(如Verilog或VHDL)进行设计。

•综合——输入的设计被综合进入由逻辑元素(LEs,FPGA芯片提供)组成的电路中。

•功能仿真——综合电路被测试以验证其功能是否正确,次仿真不考虑时序因素。

•布局布线——CAD Fitter工具决定网表中定义的LEs如何布置成FPGA芯片中的实际LEs。

•时序分析——分析已布局布线电路中的不同路径的传播延迟,用以指示所需电路的性能。

•时序仿真——测试已布局布线电路,验证其是否在功能和时序上都正确。

•编程及配置——设计的电路,通过编程配置开关,被实现到一个物理的FPGA芯片。

配置开关用于配置LEs和建立所需线路连接。

本指南介绍Quartus II软件的基本特征。

展示如何使用Verilog硬件描述语言来设计和实现电路。

使用GUI来实现Quartus II指令。

通过本份指南,读者将学习到:•新建工程•使用Verilog代码录入设计•将综合的电路布局到Altera FPGA•分配电路的输入输出到FPGA上的指定引脚•仿真设计电路•编程配置艾米电子EP2C8核心板上的FPGA芯片1. 开始在Quartus II中设计的每个逻辑电路或子电路,叫做一个工程。

Modelsim简明操作指南

Modelsim简明操作指南

Modelsim简明操作指南第一章介绍ModelSim的简要使用方法第一课 Create a Project1.第一次打开ModelSim会出现Welcome to ModelSim对话框,选取Create a Project,或者选取File\New\Project,然后会打开Create Project对话框。

2.在Create Project对话框中,填写test作为Project Name;选取路径Project Location作为Project文件的存储目录;保留Default Library Name设置为work。

3.选取OK,会看到工作区出现Project and Library Tab。

4.下一步是添加包含设计单元的文件,在工作区的Project page 中,点击鼠标右键,选取Add File to Project。

5.在这次练习中我们加两个文件,点击Add File to Project对话框中的Browse 按钮,打开ModelSim安装路径中的example目录,选取counter.v和tcounter.v,再选取Reference from current location,然后点击OK。

6.在工作区的Project page中,单击右键,选取Compile All。

7.两个文件编译了,鼠标点击Library Tab栏,将会看到两个编译了的设计单元列了出来。

看不到就要把Library的工作域设为work。

8.最后一不是导入一个设计单元,双击Library Tab中的counter,将会出现Sim Tab,其中显示了counter设计单元的结构。

也可以Design\Load design 来导入设计。

到这一步通常就开始运行仿真和分析,以及调试设计,不过这些工作在以后的课程中来完成。

结束仿真选取Design \ End Simulation,结束Project选取File \ Close \ Project。

ModelSim入门教程

ModelSim入门教程

门级仿真和时序列仿真 (后仿真)
使用综合软件综合后生成的门级网表进行仿真,不加入时延文件的仿 真就是门级仿真 .可以检验综合后的功能是否满足功能要求 ,其速度比功 能仿真要慢,比时序仿真要快. 在门级仿真的基础上加入时延文件 (.sdf) 的仿真就是时序仿真 ,比较 真实地反映了逻辑的时延与功能.综合考虑电路的路径延迟与门延迟的影 响,验证电路能否在一定时序条件下满足设计构想的过程,是否存在时 序违规
点击run -all
17
(2)我们可以在modelsim内直接编写Testbench Modelsim提供了很多Testbench模板,我们直接拿过来用可以减少工作 量。点View->Source->Show Language Templates然后会出现一个加载 工程,接着你会发现在刚才的文档编辑窗口左边出现了一个Language Templates窗口
文件对仿真没有影响48moresettings中设置如左图底下的testbenchmodenamevlgvectst对应ver你的主程序名用vhdvectst对应vhdl然后编译以后的同上49先在quarus中生成网表文件和时延文件然后调用modelsim进行仿真quar中设置仿真工具morngs也不用设置50设置完后成功编译quartusii会自动在当前project目录下生成一个simulation目录在该目录下有一个modelsim的文件夹此文件夹下有仿真所需要的网表文件及延时反标文件
9
功能仿真
功能仿真需要的文件
1.设计HDL源代码:可以使VHDL语言或Verilog语言。 2.测试激励代码:根据设计要求输入/输出的激励程序 3.仿真模型/库:根据设计内调用的器件供应商提供的模块而定,如:FIFO、 ADD_SUB等

QUARTUS II10.1调用modelsim仿真

QUARTUS II10.1调用modelsim仿真

QUARTUS II 10.1调用ModelSim-Altera仿真的过程(以上软件在六维空间上面都有,只有QUARTUS需要破解,破解方法在另外一篇文章中详述)。

我是个菜鸟,刚接触这个QUARTUS仿真,以前的版本都是直接利用自带的仿真软件,建立一个波形文件vector wavefile(后来发现这个文件可以在QUARTUS里面直接转化成TESTBENCH文件),现在10.0以后不支持直接这么仿真了,而是建议用外部的仿真器仿真(不知道我理解的对不对)。

害的我费了老大的劲,关键是我是初级的水平,能不能整点容易的。

废话少说,主要是发泄一下我的积怨。

(本文参考“Quartus II 调用ModelSim 仿真”一文)1.建立工程文件,这个我就不多说了。

网上有很多,不过在这里,我想提示一下就是工程文件名称要跟顶层实体名称一致(如果只有一个文件的话,那么工程名要跟MODUEL(Verilog HDL),ENTITY(VHDL)后面的名称一致,还有一个就是这里要跟文件名一致,这个文件是顶层文件),这个名称一致的问题至关重要,在后面还有很多地方要一致,就是大家记住一句话,所有的MODUEL和ENTITY的名称要和文件名一致,我就是后面TESTBENCH 中的MODUEL名称和文件名称不一致导致我出错好久。

2.建立Verilog文件(此处为顶层文件),文件名要和项目名一致,且和MODUEL名一致。

文件如下:在保存之前:保存之后,是自动添加到项目中的,只要文件名一致:3.设置仿真器:Assignments---settings---这里tool name:Format for output netlist:用哪个选哪个,后面的不用改(这个是综合的时候用的,用于生成网表文件),还有个东西就是TESTBENCH 在后面讲。

这里设置完了之后编译源文件就能在那个目录下面生成simulation\modelsim文件夹。

modelsim 10仿真教程

modelsim 10仿真教程

Modelsim仿真教程一、前期准备1、正确安装好modelsim和quartus软件。

我用的平台的版本是Modelsim SE10.1和Quartus II10.1。

2、在ModelSim中加入Quartus提供的仿真库在ModelSim中进行仿真需要加入Quartus提供的仿真库,原因是下面三个方面:·Quartus不支持Testbench;·调用了megafunction或者lpm库之类的Altera的函数;·时序仿真要在Modelsim下做仿真。

下面以Altera器件为例,介绍如何在ModelSim中加入Altera的仿真库,Quartus II 软件中自带有Altera的仿真库,只要把它拿到ModelSim中去编译一下就可以了,具体步骤如下:(1).设置仿真库路径打开ModelSim安装目录(我用的是ModelSim SE10.1版本,安装在C:\modeltech_10.1目录下),新建文件夹altera,我们就在该目录下存放预编译的各种Altera库。

启动ModelSim SE,在主窗口执行【File】/【Change Directory】命令将路径转到altera文件夹。

(2).新建库Quartus II中提供的仿真库文件存放的路径是....\altera\10.1sp1\quartus\eda\sim_lib,每个库文件提供了两种形式:.v(Verilog)格式和.vhd(VHDL)格式两种,根据你所用的语言选择使用。

用于编译资源库的文件有220model.v,220model.vhd,220pack.vhd,altera_mf.v,altera_mf.vhd,altera_mf_components.vhd,altera_primitives.v,altera_primitives.vhd,altera_primitives_components.vhd文件。

Modelsim使用简明指南

Modelsim使用简明指南

Modelsim使用简明指南1 前言作为一种简单易用,功能强大的逻辑仿真工具,Modelsim具有广泛的应用。

这里对ModelSim作一个入门性的简单介绍。

首先介绍ModelSim的代码仿真,然后介绍门级仿真和时序验证。

2 代码仿真在完成一个设计的代码编写工作之后,可以直接对代码进行仿真,检测源代码是否符合功能要求。

这时,仿真的对象为HDL代码,比较直观,速度比较快,可以进行与软件相类似的多种手段的调试(如单步执行等)。

在设计的最初阶段发现问题,可以节省大量的精力。

2.1 代码仿真需要的文件1.设计HDL源代码:可以使VHDL语言或Verilog语言。

2.测试激励代码:根据设计要求输入/输出的激励程序,由于不需要进行综合,书写具有很大的灵活性。

3.仿真模型/库:根据设计内调用的器件供应商提供的模块而定,如:FIFO(Altera常用的FIFO有:lpm_fifo /lpm_fifo_dc等)、DPRAM等。

2.2 代码仿真步骤1.建立工程:在ModelSim中建立Project。

如图2.1所示,点击FileÖNewÖProject,得到Creata Project的弹出窗口,如图2.2所示。

在Project Name栏中填写你的项目名字,建议和你的顶层文件名字一致。

Project Location是你的工作目录,你可通过Brose按钮来选择或改变。

Ddfault Library Name可以采用工具默认的work。

图2.1图2.22.给工程加入文件:ModelSim会自动弹出Add Items to the project窗口,如图2.3所示。

选择Add Exsiting File后,根据相应提示将文件加到该Project中。

图2.33.编译:编译(包括源代码和库文件的编译)。

编译可点击ComlileÖComlile All来完成。

4.装载文件:如图2.4,点击SimulateÖSimulate…后,如图2.5所示,选定顶层文件(激励文件),ADD加入,然后点击LOAD,装载。

quartus II和modelsim的基本使用

quartus II和modelsim的基本使用

目录1 quartus II 基本操作 (3)(1)新建工程 (3)(2)文件的组织形式 (7)(3)给工程添加一个文件 (7)(4)文件的编译 (10)2Modelsim的基本使用 (12)(1)测试平台testbench (12)(2)在modelsim中编写测试文件和用户设计的模块 (12)(3)基本仿真流程 (14)1,creat the working design library (14)2,compile the design uints (15)3,optimize the design (16)4,load the design (17)5,run the simulation (18)(4)modelsim 工程 (20)1,create a new project (20)2,add objects to the project (21)3,compile the design (21)4,optimize for design visibility (22)5,load the design (22)(5)使用多个库进行仿真 (22)1,建立实验环境 (22)2,新建工程 (23)3,关联其他库 (24)3 quartus II 和modelsim 的联合使用 (27)(1)ip核的使用 (27)(2)从quartus II调用modelsim进行仿真 (34)1,基本设置 (34)2,仿真 (39)(3)modelsim 直接仿真quartus II的IP核。

(39)1,脚本记录文件 (40)2,仿真需要的库 (51)3,关联库并仿真 (52)我所使用的是quartus II verison 11.1,使用的语言是verilog HDL,打开软件界面如下图所示:1 quartus II 基本操作(1)新建工程选择file菜单下的new project wizard,会弹出如下图所示界面:点击next,来到下面的页面:第一栏要输入的是工程的存储路径,我输入的是:G:/code/verilog test/20150411_basic_tool/t1第二栏是工程的名字:basic_tool第三栏里会自动填入和工程名字一样的字符,默认工程中顶层模块的名字和工程名相同,顶层模块在工程的编写过程中是可以更改的,这个先不用管它。

Quartus II 与ModelSim入门

Quartus II 与ModelSim入门

图 2-10 选择 and2
按照以上同样的步骤选择异或门 xor,放置至原理图文件中。 继续打开 Symbol 窗口,在 Name 文本框中输入 Input,添加输入端口 input 至原理图文件。 按照通用的方法再添加一个 input 端口和两个 output 输出端口。鼠标移动至器件端口处时, 在鼠标变成十字形状的情况下点下鼠标左键, 然后移动鼠标至要连接的另一个端口处, 在鼠 标再次变成十字形状的情况下点击左键,完成导线的连接。最终的原理图如图 2-11 所示。 注意此时点击 File-Save 保存原理图文件,文件名保持与工程名一致,如图 2-12 所示。双击 输入输出端口的文字部分(pin_name 和 OUT)修改为图 2-11 所示的端口名称。
图 1-10 修改 license.dat 文件
查找网卡的物理地址的方法如下: 在开始菜单的命令窗口中输入 cmd 后,敲击回车键运行,如图 1-11 所示。
图 1-11 运行 cmd 窗口
在弹出的 cmd 窗口提示符后输入 ipconfig/all 命令后,回车运行,如图 1-12 所示。
图 1-12 查找网卡物理地址
2.2.2 半加器的输入方式
此处主要介绍三种半加器的输入方式:文本输入、原理图输入和 IP 核方式。 1. 原理图输入方式。 File-New 打开新建窗口(图 2-9) ,选择 Block Diagram/Schematic File 后,点击 OK 创建 原理图文件。
图 2-9 新建原理图文件
在原理图文件的空白处双击鼠标左键打开 Symbol 窗口,如图 2-10 选择双输入与门 and2, 点击 OK 按钮后在原理图文件的合适位置放置。
图 2-6 的○ 1 处为选定的仿真软件 ModelSim;○ 2 处为使用的 HDL 语言 VHDL;○ 3 处为点击 Next 按钮。

Quartus II调用ModelSim仿真方法

Quartus II调用ModelSim仿真方法

系统的仿真方法图1在Quartus II中配置ModelSim-Altera应用第三方软件路径首先,建立工程时,选择仿真软件选ModelSim-Altera,我用的是Verilog硬件描述语言,然后继续内容的编写。

内容编写之后,打开菜单Tool下的Options,在EDA Tool Options中的ModelSim-Altera进行第三方路径的设置,如图1-1 所示,已完成在Quartus II中配置ModelSim-Altera应用第三方软件路径。

如图2 NativeLink settings然后,选择Assignments下的EDA Tool Settings下的Simulation中的NativeLink settings,选择Compile test bench,设置其中的Test Benches,New,然后编辑里头内容,然后具体内容如图 2 所示,然后,Test bench name填入的是设计的顶层文件、Top level module in test bench和Design instance name in test bench是填入仿真的文件的名字,Test bench files填入仿真的文件路径。

最后生成测试文件,选择在Quartus II开发界面菜单栏下Processing下的Start 下面的Start Test Bench Template Writer,提示生成成功。

生成测试仿真文件的大框,里头一些内容还需自己添加。

以下告诉你如何添加内容,在仿真目录下找到为“.vt”的文件,然后打开这个文件,根据需要给以激励和时钟进行编辑。

具体的Test Bench的编写正在附录四中,写完Test Bench之后就可以进行在菜单栏Tools 下的Run EDA Simulation下的EDA RTL Simulation仿真。

Quartus II 波型仿真

Quartus II 波型仿真

Quartus II 波型仿真
一.新建波型文件
1.File->New,选择下图所示文件类型,点OK。

2.打开波型文件,在name区双击,弹出插入节点对话框,点击Node Finder,弹出Node Finder 对话框,点击List.。

如下图所示:
选中想要查看的输出以及相关的输入,点击>。

全选时,选择>>,同样想取消某个节点时,选择<, 全部取消<<。

3.输入定义及设置
点击OK打开波形定义窗口,在对应的输入名称上,点击右键,选择value,设置输入波形。

或者直接在名称对应的左侧点击需要的波形,
注意CLK时钟信号的设置,根据实际情况设置时钟周期及仿真时间。

时钟结束时间等于Edit 中设置的仿真结束时间。

完成所有的输入设置后,保存文件。

二.仿真
1.。

选择Edit ,设置仿真结束时间,栅格及时间标记条。

根据需要改变栅格的大小,如下图所示:
设置需要查看的时间标记,如下图所示,点击ADD.及OK。

2.设置完成后保存文件,选择编译,编译通过后选择开始仿真。

3. 仿真完成后弹出仿真报告界面。

选择放大镜,单击或右击,来放大或缩小显示的波形。

如下图所示为手动不时分情况下,J5 J6 J7 J8=1101时的波形图,从图中可见,TXVON=1和RXVON=0,功放开,低噪放关。

仿真完成。

王盼
09年4月9日。

Quartus10教程

Quartus10教程

Quartus II 10.0操作指南本教程以设计半加器为例,详细介绍该软件的设计开发流程。

一、新建设计工程1.Quartus II 10.0软件启动双击桌面图标启动软件,启动界面图1所示。

图1 软件启动界面2.新建工程项目点击图1中的Create a New Project图标,或选择菜单栏File\New Project Wizard命令,弹出新建工程向导,如图2所示,点击Next按钮,弹出新建工程设置窗口,工程存放目录设为E:/CPLD_LAB1,工程名设为halfadder,如图3所示。

图2 新建工程向导图3 工程目录设置点击Next按钮,弹出添加已有文件窗口,如图4所示,本例无需添加已有文件,点击Next按钮,弹出芯片选择窗口,如图5所示。

图4 添加已有文件窗口3.FPGA芯片选择芯片系列选择Cyclone IV E,芯片型号选择EP4CE115F29C7,点击Next按钮,弹出EDA工具设置窗口,如图6所示,本例暂不设置EDA工具,点击Next 按钮,弹出工程小结界面,如图7所示,点击Finish按钮,完成工程建立。

图5 芯片选择窗口图6 EDA工具设置窗口图7 新建工程小结窗口二、新建VHDL源文件在菜单栏选择File/New选项,弹出文件类型选择界面,如图8所示,本例选择VHDL File,点击OK按钮,弹出源文件编辑窗口,输入半加器VHDL程序,如图9所示。

图8 文件类型选择选择工具栏中的保存Save图标,源程序保存界面如图10所示。

图10 源程序保存界面三、程序综合双击工程管理区中的Compile Design进行程序综合编译,程序如有语法错误,则编译不能通过,根据错误提示修改程序,直至综合通过,综合完成后的显示界面如图11所示。

图11 综合完成界面四、波形仿真由于Quartus II 10.0仅支持TestBench输入波形,本例采用VHDL语言设计TestBench波形输入文件。

Quartus 调用ModelSim-Altera进行波形仿真

Quartus 调用ModelSim-Altera进行波形仿真

QuartusⅡ调用ModelSim-Altera进行波形仿真QuartusⅡ10.1已经不能直接建立波形文件进行仿真了,但可以通过写test bench文件,调用ModelSim-Altera进行波形仿真。

本文通过一个简单的例子——模4计数器,来说明如何调用ModelSim。

在下载QuartusⅡ10.1时,也要下载ModelSim-Altera。

说明:ModelSim有其他的版本,最好的是ModelSim SE,ModelSim-Altera是免费的,如果有ModelSim SE的破解版的话也是可以的,这里我将ModelSim分成两种,ModelSim和ModelSim-Altera。

安装完两个软件后,打开QuartusⅡ10.1,在菜单栏上打开Tools|Options,如下图。

设置ModelSim.exe的路径,有哪个版本就设置哪个,都有的话都设置。

设置完之后,新建一个工程CNT4。

只进行仿真的话,该页可不设置。

这里可以选择仿真工具ModelSim和ModelSim-Altera(亦可不设置,在后面可以设置),以及语言种类,这里以ModelSim-Altera和VHDL语言为例介绍。

新建一个VHDL文件,代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY CNT4ISPORT(CLK:IN STD_LOGIC;Q:OUT STD_LOGIC_VECTOR(3DOWNTO0));END CNT4;ARCHITECTURE BEH OF CNT4ISSIGNAL Q1:STD_LOGIC_VECTOR(3DOWNTO0);BEGINPROCESS(CLK)BEGINIF CLK'EVENT AND CLK='1'THENQ1<=Q1+1;END IF;END PROCESS;Q<=Q1;END BEH;按菜单栏Processing|Start Compilation进行编译,也可以按工具栏上的快捷键。

modelsim初学者教程

modelsim初学者教程

Modelsim SE 10.0.c入门教程小小龙结合黑金FPGA开发板的所用的软件quartus 11.0与modelsim10.0c, 本文介绍给初学者介绍Modesim SE 入门,Modesim SE 入门视频可在上下载!大家知道,自从quartus 10以后,quartus就不自带的波形仿真软件,想要仿真需自己安装第三方软件(如modelsim等),闲话我就不多说,下面主要介绍如何在quartus 11.0中如何调用modelsim 10.0c以及testbench的编写。

下面就以一个计数器为例进行讲解(黑金开发板DB4CE平台),前提需安装quartus11.0 、modelsim10.0c,好了,切入正题。

新建工程时在出现的画面中按如下设置根据仿真软件及语言进行选择,上图我们用到的软件为modelsim, 语言:Verilog HDL下面我们编写一个计数器程序,在quartus中编写count128.v文件,代码如下:程序实现对clk的128分频下一步告诉大家怎样编写仿真测试文件testbench,如下操作至此,testbench模板已经OK!,生成的文件为.vt,位于工程文件夹simulation\modelsim中,如下有了模板,但只是给了我们一个架构,还需要编写仿真文件,打开模板,来瞧瞧里面到底有些什么注意:testbench中规定a)输入一律为reg型变量,输出为wire型;b)可以有不可综合的语句;for,while等;根据count128.v,测试文件testbench为只要给时钟clk和rst_n赋值变OK了接下来对工程进行一些设置:让quartus调用modelsim如下设置上图以modelsim安装在D盘为例进行说明,请根据自己安装位置进行选择,至此modelsim调用完成。

进行仿真设置:上图设置与下图testbench文件中画红线的地方对应接下来进行编译,仿真可以看到,出现了仿真波形,要用到的几个波形按钮(放大、缩小,插入\删减光标等)用红色标出,童鞋们自己试试。

在Quartus中调用Modelsim进行仿真

在Quartus中调用Modelsim进行仿真

在QuartusⅡ中调用ModelSim进行仿真Quartus Ⅱ版本:Quartus Ⅱ10.0 (32-bit);安装路径为D:\Myfiles\QUARTUS_10.0 ModelSim版本:ModelSim SE 6.5;安装路径为D:\Myfiles\ModelsimSE一、Quartus设置(1)在QuartusⅡ中指明仿真工具及其路径(2)在QuartusⅡ中编译所需的元器件库二、建立工程及仿真(1)在QuartusⅡ中建立工程counter,并指明仿真工具(2)顶层文件代码(3)激励文件代码(4)在Quartus中添加testbench文件(5)在Quartus中全编译工程(6)前仿真(7)后仿真一、QuartusⅡ的相关设置(1)在QuartusⅡ中指明仿真工具及其路径在QuartusⅡ中执行Tools\Options,打开EDA Tool Options选项卡。

我们使用ModelSim 进行仿真,所以在ModelSim对应的Location of Executable中选择ModelSim的安装路径D:\Myfiles\ModelsimSE\win32,如图1所示:图1(2)在QuartusⅡ中编译所需的元器件库1)在ModelSim安装路径D:\Myfiles\ModelsimSE中新建文件夹modelsimSE_lib,用于存放编译的文件2)在QuartusⅡ10.0中执行Tools\Launch EAD Simiulation Library Compiler,在打开的界面中Executable location一项选择ModelSim的安装路径D:\Myfiles\ModelsimSE\Win32在Avaliable families中根据自己需要选择可能用的到器件系列,如cyclone、stratix等;在这里可以多选择一些,以备能用到,并且一次编译后,以后就不用再编译了。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

QUARTUSⅡ10仿真(ModelSim)入门指南平台
软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition
内容
1 设计流程
使用ModelSim仿真的基本流程为:
图1.1 使用ModelSim仿真的基本流程
2 开始
2.1 新建工程
打开ModelSim后,其画面如图2.1所示。

图2.1 ModelSim画面
1. 选择File>New>Preject创建一个新工程。

打开的Create Project对话框窗口,可以指定工程的名称、路径和缺省库名称。

一般情况下,设定Default Library Name为work。

指定的名称用于创建一个位于工程文件夹内的工作库子文件夹。

该对话框如图
2.2所示,此外还允许通过选择.ini文件来映射库设置,或者将其直接拷贝至工程中。

图2.2 创建工程的对话框
2. 按照图2.3所示,设置Project Name为LED_FLOW,Project Location为D:/led_flow。

图2.3 输入工程信息
当单击OK按钮后,在主体窗口的下方将出现Create Project标签,如图2.4所示。

图2.4 Project标签
3. 之后,将出现Add Items to the Project的对话框,如图2.5所示。

图2.5 在工程中,添加新项目
2.2 在工程中,添加新项目
在Add Items to the Project对话框中,包括以下选项:
∙Create New File——使用源文件编辑器创建一个新的Verilog、VHDL、TCL或文本文件
∙Add Existing File——添加一个已存在的文件
∙Create Simulation——创建指定源文件和仿真选项的仿真配置
∙Create New Folder——创建一个新的组织文件夹
1. 单击Create New File。

打开图
2.6所示窗口。

图2.6 创建工程文件夹
2. 输入文件名称:LED_FLOW,然后选择文件类型为Verilog。

图2.7 输入工程文件信息
3. 单击OK,关闭本对话框。

新的工程文件将会在工程窗口显示。

单击Close,以关闭Add Items to the Project。

图2.8 新的设计文件LED_FLOW.v
4. 双击打开LED_FLOW.v文件(注意:若是Verilog文件已经关联了其他的文本编辑器,则双击后在关联的文本编辑器中打开)。

图2.9 LED_FLOW代码输入窗口
在LED_FLOW.v输入下面的测试平台代码:
`timescale 1ns/1ns
module LED_FLOW;
reg CLOCK_50M;
reg RST_N;
wire [9:0] LED;
led led_inst
(
.clk_50M(CLOCK_50M),
.reset_n(RST_n),
.led(LED)
);
initial
begin
CLOCK_50M = 0;
while (1)
#10 CLOCK_50M = ~CLOCK_50M;
end
initial
begin
RST_N = 0;
while (1)
#10 RST_N = 1;
end
initial
begin
$display($time,"CLOCK_50M=%d RST_N=%d LED =%d", CLOCK_50M, RST_N, LED); end
endmodule
录入完代码后,单击Save。

图2.10 输入testbench代码
5. 选择File>New>Source>Verilog,创建新的Verilog文件,如图2.11所示。

图2.11 创建新的Verilog文件
6. 录入下面的代码,录入画面如图2.12 所示。

`timescale 1ns/1ns
module led(
input clk_50M, // System clock 50MHz
input reset_n, // System reset
output reg [9:0] led // led
);
reg [13:0] counter = 0;
reg [3:0] state = 0;
always @ (posedge clk_50M, negedge reset_n)
if (!reset_n)
counter <= 0;
else
counter <= counter + 1'b1;
always @ (posedge counter[13])
if (!reset_n)
state <= 0;
else
begin
if (state == 4'b1001)
state <= 0;
else
state <= state + 1'b1;
end
always @ (posedge clk_50M, negedge reset_n)
if (!reset_n)
led <= 0;
else
begin
case (state)
4'b0000: led <= 10'b00000_00001;
4'b0001: led <= 10'b00000_00010;
4'b0010: led <= 10'b00000_00100;
4'b0011: led <= 10'b00000_01000;
4'b0100: led <= 10'b00000_10000;
4'b0101: led <= 10'b00001_00000;
4'b0110: led <= 10'b00010_00000;
4'b0111: led <= 10'b00100_00000;
4'b1000: led <= 10'b01000_00000;
4'b1001: led <= 10'b10000_00000;
default: led <= 10'b00000_00001;
endcase
end
endmodule
图2.12 录入新文件
7. 选择File>Save,输入文件名:led.v,单击Save,如图2.13所示。

图2.13 保存led.v
8. 选择Project>Add to Project>Existing File,如图2.14所示。

图2.14添加文件到工程中
9. 单击Browse,选择led.v,如图2.15 所示。

图2.15 选择待加入工程的文件
10. 单击打开,在Add file to the project窗口,单击OK。

2.3 编译文件
在Project标签下的Status列的问号,表示文件尚未编译进工程,或者在最后编译前,源文件有所改动。

欲编译文件,选择Compile<Co mpile ALL,或者右击Project标签,选择Compile>Compile All。

1. 倘若此处没有错误,编译成功的消息,就会在Transcript窗口如图
2.6所示。

图2.16 编译成功
3 仿真工程
3.1 开始仿真
1. 单击Library图标,选择work,单击+以展开选项,然后选择LED_FLOW。

单击右键,选择编译,如图3.1所示。

图3.1 单击Simulate
2. 单击Simulate,到达图
3.2所示画面。

图3.2 仿真窗口
4. 在图3.2中,单击LED_FLOW,单击右键,然后选择Add>To Wave>All Items in region,然后单击左键。

出现图3.3所示画面。

图3.3 Add To Wave
3.2 仿真设置
1. 完成上述最后一步后,波形窗口出现。

图3.4 波形窗口
2. 在Run Length列输入仿真时间长度为10ms,如图
3.5所示。

图3.5 设置Run Length
3. 单击Run按钮,如图3.6所示。

图3.6 运行仿真
4. 运行若干秒后,将会如图3.9所示的仿真结果。

图3.7 显示仿真结果
5. 连续单击Zoom Out 图标,可查看仿真的完整波形,如图3.8所示。

图3.8 波形窗口
6. 单击鼠标所指的+,展开LED_FLOW的波形,如图3.9所示。

图3.9 展开波形
通过放大/缩小波形,可以观察到LED的值在保持变化,即LED的时序效果。

若将其移植到Quartus II中,适当配置后,经过综合、时序分析、引脚分配、配置及下载等,即可实现跑马灯的效果。

至此,仿真的所有进程完毕。

相关文档
最新文档