我的Verilog学习笔记..

合集下载

Verilog中的一些语法和技巧

Verilog中的一些语法和技巧

Verilog中的⼀些语法和技巧1、.2、.3、Reg型的数据类型默认初始值为X。

reg型数据可以赋正值也可以赋负值,但是当⼀个reg型数据是⼀个表达式的操作数的时候,他的值被当做⽆符号数及正值。

4、在数据类型中?和Z均表⽰⾼阻态。

5、Reg型只表⽰被定义的信号将⽤在“always”模块内,并不是说reg型⼀定是寄存器或触发器的输出。

虽然reg型信号常常是寄存器或触发器的输出但是并不⼀定总是这样。

6、Verilog语⾔中没有多维数组的存在。

Memory型数据类型是通过扩展reg型数据的弟⼦和范围来⽣成的。

其格式如下reg[n-1:0]存储器名[m-1:0];7、在除法和取余的运算中结果的符号和第⼀个操作数的符号位是相同的。

8、不同长度的数据进⾏运算:两个长度不同的数据进⾏位运算时,系统会⾃动地将两者按有端对齐,位数少的操作数会在相应的⾼位⽤0填满以便连个操作数安慰进⾏操作。

9、= = =与!= = =和= =与!= =的区别:后者称为逻辑等是运算符,其结果是2个操作数的值决定的。

由于操作书中某些位可能不定值x和⾼阻态z结果可能是不定值x。

⽽ = = =和!= = =运算符对操作数的⽐较时对某些位的⾼阻态z和不定值x也进⾏⽐较,两个操作数必须完全⼀致,其结果才是1,否则是0.10、⾮阻塞和阻塞赋值⽅式:⾮阻塞赋值⽅式(如a<=b)上⾯语句所赋得变量值不能⽴即被下⾯语句所⽤,(2)快结束后才能完成这次赋值操作 3在编写克综合的时序逻辑模块时这是最常⽤的赋值⽅法。

阻塞赋值(如a=b)赋值语句执⾏完后,块才结束 2 b的值在赋值语句完成后⽴即执⾏ 3在时序逻辑使⽤中,可能产⽣意想不到的结果。

11、模块的描述⽅式:(RTL为寄存器传输级描述)“(1)数据流描述⽅式:数据流⾏描述主要⽤来描述组合功能,具体⽤“assign”连续赋值语句来实现。

分为两种a、显式连续赋值语句;连线型变量类型[连线型变量为快]连线型变量名Assign #(延时量)连线型变量名=赋值表达式;显式连续赋值语句包含了两条语句;第⼀条是对连线型变量的进⾏类型说明的说明语句;第⼆句是对这个已得到声明的连线型变量进⾏连续赋值语句。

自己整理的:学习verilogDHL问题笔记——Quartus常见错误

自己整理的:学习verilogDHL问题笔记——Quartus常见错误

⾃⼰整理的:学习verilogDHL问题笔记——Quartus常见错误我初学verilog语⾔,很多细节都没注意,按着⾃⼰的思想就写了,编译的时候才发现各种问题。

这些都是我在学习中遇到的问题,还是很常见的。

1.Error (10028): Can't resolve multiple constant drivers for net ……解析:不能在两个以上always内对同⼀变量赋值,这个细节⼀般看书看资料会看到,但是编程时,就是没想到。

2.Error (10158): Verilog HDL Module Declaration error at clkseg.v(1): port "XXXX" is not declared as port解析:⼤意了,端⼝类型还没定义啊!3.Error (10110): variable "en" has mixed blocking and nonblocking Procedural Assignments -- must be all blocking or all nonblocking assignments解析:en在程序中有时⽤⾮阻塞赋值,有时⽤阻塞赋值,这是禁⽌的。

在初学的时候,可能分得不是很清楚,所以在检查时,⼀定要⼀步步观察慢慢来。

4.Error (10161): Verilog HDL error at clkseg.v(36): object "count" is not declared解析:这个错误应该很明显啦,只要能读得懂。

5.Error (10170): Verilog HDL syntax error at clkseg.v(37) near text "***"; expecting ";"解析:意思应该也很简单,就是检查的时候要细⼼点。

学习verilog DHL问题笔记——Quartus常见错误

学习verilog DHL问题笔记——Quartus常见错误

学习verilog DHL问题笔记——Quartus常见错误我初学verilog语言,很多细节都没注意,按着自己的思想就写了,编译的时候才发现各种问题。

这些都是我在学习中遇到的问题,还是很常见的。

1.Error (10028): Can't resolve multiple constant drivers for net ……解析:不能在两个以上always内对同一变量赋值,这个细节一般看书看资料会看到,但是编程时,就是没想到。

2.Error (10158): Verilog HDL Module Declaration error at clkseg.v(1): port "XXXX" is not declared as port解析:大意了,端口类型还没定义啊!3.Error (10110): variable "en" has mixed blocking and nonblocking Procedural Assignments -- must be all blocking or all nonblocking assignments解析:en在程序中有时用非阻塞赋值,有时用阻塞赋值,这是禁止的。

在初学的时候,可能分得不是很清楚,所以在检查时,一定要一步步观察慢慢来。

4.Error (10161): Verilog HDL error at clkseg.v(36): object "count" is not declared解析:这个错误应该很明显啦,只要能读得懂。

5.Error (10170): Verilog HDL syntax error at clkseg.v(37) near text "***"; expecting ";"解析:意思应该也很简单,就是检查的时候要细心点。

最新FPGA状态机学习笔记(verilog)资料

最新FPGA状态机学习笔记(verilog)资料

FPGA之有限状态机学习笔记有限状态机(FSM)是由寄存器组合组合逻辑构成的硬件时序电路。

FSM 的状态只可能在同一时钟跳变沿的情况下才能从一个状态转向另一个状态。

Mealy型FSM的下一个状态不仅取决于当前所在状态,还取决于各个输入值。

Moore型FSM的下一个状态只取决于当前状态。

Verilog HDL可以用很多方法描述FSM,最常用的是用always语句和case 语句。

FSM常用模型有Gray和独热码两种,对于用FPGA实现的FSM建议采用独热码。

因为采用独热码可省下许多组合电路的使用,提高电路的速度和可靠性,且总的单元数并无显著增加。

用Verilog语言描述FSM,可以充分发挥硬件描述语言的抽象建模能力。

有限状态机设计的一般步骤:(1)、逻辑抽象,得出状态转换图(2)、状态化简(3)、状态分配(4)、选定触发器的类型并求出状态方程、驱动方程和输出方程(5)、按照方程得出逻辑图以下就是分别用独热码和Gray码实现上述状态的源程序:采用独热码源程序:module fsm(Clock,Reset,A,B,C,D,E,Multi,Contig,Single);input Clock;input Reset;input A,B,C,D,E;output Multi,Contig,Single;reg Multi;reg Contig;reg Single;parameter [6:0]S1=7'b0000001,S2=7'b0000010,S3=7'b0000100,S4=7'b0001000,S5=7'b0010000,S6=7'b0100000,S7=7'b1000000;parameter U_DL Y=1;reg [6:0] curr_st;reg [6:0] next_st;always @(posedge Clock or posedge Reset) beginif(!Reset)curr_st=S1;elsecurr_st= #U_DL Y next_st;endalways @(curr_st or A or B or C or D or E) begincase(curr_st)S1:beginMulti =1'b0;Contig =1'b0;Single =1'b0;if(A&~B&C)next_st =S2;else if(A&B&~C)next_st =S4;elsenext_st =S1;endS2:beginMulti =1'b1;Contig =1'b0;Single =1'b0;if(!D)next_st =S3;elsenext_st =S4;endS3:beginMulti =1'b0;Contig =1'b1;Single =1'b0;if(A|D)next_st =S4;elsenext_st =S3;endS4:beginMulti =1'b1;Contig =1'b1;Single =1'b0;if(A&B&~C)next_st =S5;elsenext_st =S4;endS5:beginMulti =1'b1;Contig =1'b0;Single =1'b0;next_st =S6;endS6:beginMulti =1'b0;Contig =1'b1;Single =1'b1;if(!E)next_st =S7;elsenext_st =S6;endS7:beginMulti =1'b0;Contig =1'b1;Single =1'b0;if(E)next_st =S1;elsenext_st =S7;enddefault:next_st =S1;endcaseendendmoduleModelsim仿真激励文件程序如下:`timescale 1 ns/ 1 psmodule fsm_vlg_tst();// constants// general purpose registersreg eachvec;// test vector input registersreg A;reg B;reg C;reg Clock;reg D;reg E;reg Reset;// wireswire Contig;wire Multi;wire Single;// assign statements (if any)fsm i1 (// port map - connection between master ports and signals/registers .A(A),.B(B),.C(C),.Clock(Clock),.Contig(Contig),.D(D),.E(E),.Multi(Multi),.Reset(Reset),.Single(Single));initialbeginClock=0;forever #10Clock=~Clock;endinitialbeginReset=0;#100Reset=1;endinitialbegin//{A,B,C,D,E}=5'b10101;//# 10// {A,B,C,D,E}=5'b11000;{A,B,C,D,E}=5'b10111;//A=1;//B=0;//C=1;#100//{A,B,C,D,E}=5'b10101;D=0;#50//{A,B,C,D,E}=5'b10111;A=1;D=1;#50//{A,B,C,D,E}=5'b11011;A=1;B=1;C=0;#100//{A,B,C,D,E}=5'b11010;E=0;#50//{A,B,C,D,E}=5'b11011;E=1;endendmodule注:initial块中语句是顺序执行的,因此在需要延时的时候,按相对时间延时。

Verilog语言基础知识

Verilog语言基础知识
2.常量
在Verilog HDL中,用parameter来定义常量,即用parameter来定义一个标志符,代表一个常量,称为符号常量。其定义格式如下:
parameter 参数名1=表达式,参数名2=表达式,参数名3=表达式……;
例如:
parameter sel=8,code=8'ha3;
//分别定义参数sel为常数8(十进制),参数code为常数a3(十六进制)
Verilog HDL中共有19种数据类型。数据类型是用来表示数字电路中的数据存储和传送单元的。在此介绍4个最基本的数据类型:integer型、parameter型、reg型和wire型。
Verilog HDL中也有常量和变量之分,他们分属以上这些类型。
6.2.1 常量
在程序运行过程中,其值不能被改变的量称为常量。
assign {cout,sum}=ina+inb+cin;//全加
endmodule
【例6.2】一个8位计数器的Verilog HDL源代码
module counter8(out,cout,data,load,cin,clk);
output[7:0]out;
output cout;
input[7:0] data;
6.1.2 Verilog HDL模块的结构
Verilog HDL的基本设计单元是"模块(block)"。一个模块是由两部分组成的,一部分描述接口;另一部分描述逻辑功能,即定义输入是如何影响输出的。下面举例说明,图6.1示出了一个"与-或-非"门电路。
图6.1"与-或-非"电路
该电路表示的逻辑函数可以写为:
6.2.2 变量

Verilog学习笔记--运算符与阻塞非阻塞语句

Verilog学习笔记--运算符与阻塞非阻塞语句

verilog中的位运算符,缩位运算符和逻辑运算符的说明
1,位运算符
按位运算的运算符是位运算符,原来的操作数有几位,结果就有几位,若两个操作数位数不同,则位数短的操作数左端会自动补0(两个数右端对齐,位数少的操作数会在相应的高位补0)。

(1),按位取反:~
(2),按位与:&
(3),按位或:|
(4),按位异或:^
(5),按位同或:^~或~^
2,缩位运算符(又称归约运算符)
缩位运算符是单目运算符,按位进行逻辑运算,结果是一位值!
(1),与缩位运算符:&
(2),或缩位运算符:|
(3),异或缩位运算符:^
(4),与,或,异或运算符和非运算符组成的复合运算符:~&,~|,~^
3,逻辑运算符(逻辑关系运算)
(1),逻辑与:&&
(2),逻辑或:||
(3),逻辑非:!
其中,逻辑与和逻辑或是双目运算符,逻辑非是单目运算符。

如果操作数是多位的,则将操作数看做整体,若操作数中每一位都是0值则为逻辑0值,若操作数当中有1,则做位逻辑1值。

4,相等与全等运算符
(1),==
(2),!=
(3),===
(4),!==
== 、!= 、===、!== 符号之间不能有空格。

“==”和“!=”称作逻辑等式运算符,其结果由两个操作数的值决定。

由于操作数可能是x或z,其结果可能为x;
“===”和“!==”常用于case表达式的判别,又称作cae等式运算符。

其结果只为0和1.如果操作数中存在x和z,那么操作数必须完全相同结果才为1,否则为0.
逻辑等式运算符和case等式运算符的区别:。

FPGA笔记之verilog语言(基础语法篇)

FPGA笔记之verilog语言(基础语法篇)

FPGA笔记之verilog语言(基础语法篇)笔记之verilog语言(基础语法篇)写在前面:verilogHDL语言是面对硬件的语言,换句话说,就是用语言的形式来描述硬件线路。

因此与等软件语言不同,假如想要在实际的中实现,那么在举行verilog语言编写时,就需要提前有个硬件电路的构思和主意,同时,在编写verilog语言时,应当采纳可综合的语句和结构。

1. verilog 的基础结构1.1 verilog设计的基本单元——module在数字电路中,我们经常把一些复杂的电路或者具有特定功能的电路封装起来作为一个模块用法。

以后在运用这种模块化的封装时,我们只需要知道:1.模块的输入是什么;2.模块的输出是什么;3.什么样的输入对应什么样的输出。

而中间输入是经过什么样的电路转化为输出就不是我们在用法时需要特殊重视的问题。

当无数个这样的模块互相组合,就能构成一个系统,解决一些复杂的问题。

verilog语言的基础结构就是基于这种思想。

verilog中最基本的模块是module,就可以看做是一个封装好的模块,我们用verilog来写无数个基本模块,然后再用verilog描述多个模块之间的接线方式等,将多个模块组合得到一个系统。

那么一个module应当具有哪些要素呢?首先对于一个module,我们应当设计好其各个I/O,以及每个I/O的性质,用于与模块外部的信号相联系,让用法者知道如何连线。

第二,作为开发者,我们需要自己设计模块内部的线路来实现所需要的功能。

因此需要对模块内部浮现的变量举行声明,同时通过语句、代码块等实现模块的功能。

综上所述,我们把一个module分成以下五个部分:模块名端口定义I/O解释第1页共9页。

Verilog HDL基础知识

Verilog HDL基础知识
task time tran tranif0 tranif1
tri tri0 tri1 triand trior trireg unsigned vectored wait wand weak0 weak1 while wire wor xnor xor
第3章 Verilog HDL基础知识
3.2 数 据 类 型
Verilog HDL支持两种形式的注释符:/*……*/与//。其中, /*……*/为多行注释符,用于对多行语句注释;//为单行注释符, 只对注释符所在的行有效。下面是一个使用注释符对1位加法器 进行说明的例子。
第3章 Verilog HDL基础知识
【例3-2】注释符的例子。
/* 该例利用一位加法器来说明单行注释符与多行注释符的
第3章 Verilog HDL基础知识
1. 字符串变量的声明 Verilog HDL中采用寄存器变量来存储字符串,寄存器变量的 位数要大于字符串的最大长度。需要注意的是,Verilog HDL中并 不需要特殊位来存储终止符。 【例3-6】字符串变量的声明。
reg [8*12:1] stringvar; initial
'<base_format><number> 符号“'”为基数格式表示的固有字符,该字符不能省略,否 则为非法表示形式;参数<base_format>用于说明数值采用的进制 格式;参数<number>为相应进制格式下的一串数字。这种格式未 指定位宽,其缺省值至少为32位。
第3章 Verilog HDL基础知识
第3章 Verilog HDL基础知识
module addbit (a,b,ci,sum,co);
input

fpga语法知识点总结

fpga语法知识点总结

fpga语法知识点总结一、Verilog语言Verilog是一种硬件描述语言(HDL),用于描述数字电路和系统级设计。

在FPGA设计中,Verilog语言常常用于描述逻辑功能和时序控制。

Verilog语言包括模块、端口、信号声明、组合逻辑、时序逻辑、行为模拟等部分。

1. 模块:Verilog中的模块是一个最基本的组织单位,它类似于面向对象编程中的类。

每个模块都有自己的输入输出端口和内部逻辑实现。

在FPGA设计中,通常会设计多个模块来实现不同的功能,然后将这些模块连接起来,构成一个完整的系统。

2. 端口:在Verilog中,端口用于定义模块与外部环境的接口。

端口可以被定义为输入端口(input)、输出端口(output)、双向端口(inout)等,用于进行与外部信号的通信。

3. 信号声明:在Verilog中,信号用于传递逻辑信息。

信号可以是单个的位(bit)信号,也可以是多位(bus)信号。

在FPGA设计中,对信号的声明和使用是非常重要的,可以影响到设计的性能和资源占用。

4. 组合逻辑:组合逻辑是一种不含时钟的逻辑电路,其输出仅由输入决定。

在Verilog中,组合逻辑常常使用逻辑运算符和条件语句来描述。

5. 时序逻辑:时序逻辑是一种包含时钟信号的逻辑电路,其输出由时钟信号和输入信号共同决定。

在FPGA设计中,时序逻辑和时序约束非常重要,可以影响到设计的时序性能。

6. 行为模拟:行为模拟是一种用于验证设计功能和性能的技术。

在Verilog中,可以使用行为模拟语句来描述设计的行为,并进行仿真验证。

二、VHDL语言VHDL(VHSIC Hardware Description Language)是一种硬件描述语言,用于描述数字电路和系统级设计。

在FPGA设计中,VHDL语言和Verilog语言一样,用于描述逻辑功能和时序控制。

VHDL语言包括实体、端口、信号声明、组合逻辑、时序逻辑、行为模拟等部分。

1. 实体:在VHDL中,实体是描述一个硬件单元的基本描述。

verilog知识点总结

verilog知识点总结

verilog知识点总结Verilog是一种硬件描述语言(HDL),用于描述数字电路和系统,它广泛应用于数字系统设计和仿真领域。

本文将总结一些Verilog 的重要知识点,以帮助读者更好地理解和应用Verilog。

一、Verilog的基本语法Verilog的基本语法包括模块声明、端口声明、信号声明、数据类型、运算符等。

Verilog中的模块是设计的基本单元,模块声明包括模块名和端口声明。

端口可以是输入、输出或双向的。

信号声明用于定义内部信号,可以是寄存器或线网类型。

Verilog支持多种数据类型,包括整数、浮点数、向量、数组等。

Verilog还提供了丰富的运算符,包括算术运算符、逻辑运算符、位运算符等。

二、组合逻辑电路描述Verilog可以用来描述各种组合逻辑电路,如与门、或门、非门等。

通过使用逻辑运算符和条件语句,可以很方便地描述组合逻辑电路的功能。

Verilog还提供了多种语法结构,如if语句、case语句等,用于描述复杂的逻辑功能。

三、时序逻辑电路描述时序逻辑电路是一种带有状态的电路,Verilog可以用来描述各种时序逻辑电路,如触发器、计数器、状态机等。

通过使用时钟信号和触发器,可以实现电路的时序行为。

Verilog提供了多种触发器类型,如D触发器、JK触发器、T触发器等,可以根据实际需求选择合适的触发器类型。

四、模块实例化和层次化设计Verilog支持模块的实例化和层次化设计,可以将一个模块实例化为另一个模块的一部分。

通过模块实例化,可以方便地实现模块的复用和层次化设计。

层次化设计可以使整个系统更加清晰和模块化,方便调试和维护。

五、仿真和验证Verilog可以用于对设计进行仿真和验证,以确保设计的正确性。

Verilog提供了仿真器,可以对设计进行时序仿真和波形查看。

通过仿真,可以验证设计的功能和时序行为是否符合要求。

Verilog 还支持测试向量的生成和自动验证,可以自动生成测试向量并进行自动验证。

virilog语法总结

virilog语法总结

1、verilog特点:★区分大小写,所有关键字都要求小写★不是强类型语言,不同类型数据之间可以赋值和运算★ //是单行注释可以跨行注释★描述风格有系统级描述、行为级描述、RTL级描述、门级描述,其中RTL级和门级别与具体电路结构有关,行为级描述要遵守可综合原★门级描述使用门级模型或者用户自定义模型UDP来代替具体基本元件,在IDE中针对不同FPGA器件已经有对应的基本元件原语verlog语法要点2、语句组成:★ module endmodule之间由两部分构成:接口描述和逻辑功能描述★ IO端口种类: input output inout★相同位宽的输入输出信号可以一起声明, input[3:0] a,b; 不同位宽的必须分开写★内部信号为reg类型,内部信号信号的状态: 0 1 x z, 3‘bx1=3’bxx1 x/z会往左扩展 3‘b1=3’b001 数字不往左扩展★逻辑功能描述中常用assign描述组合逻辑电路,always既可以描述组合逻辑电路又可以描述时序逻辑电路,还可以用元件调用方法描述逻辑功能★ always之间、assign之间、实例引用之间以及它们之间都是并行执行,always内部是顺序执行3、常量格式: <二进制位宽><‘><进制><该进制的数值>:默认进制为10进制默认位宽为32位位宽是从二进制宽度角度而言的由位宽决定从低位截取二进制数2’hFF=2‘b11,通常由被赋值的reg变量位宽决定parameter常用于定义延迟和变量位宽,可用常量或常量表达式定义4、变量种类: wire reg memory① IO信号默认为wire类型,除非指定为reg类型(reg和wire 的区别)wire可以用作任何输入输出端口wire包括input output inoutwire不带寄存功能assign赋值语句中,被赋值的信号都是wire类型assign之所以称为连续赋值,是因为不断检测表达式的变化reg类型可以被赋值后再使用,而不是向wire一样只能输出reg类型变量初始值为xalways模块里被赋值的信号都必须定义为reg类型,因为always 可以反复执行,而reg表示信号的寄存,可以保留上次执行的值reg类型变量与integer变量不同,即使赋负值,实质上也是按二进制无符号数存储的,integer是有符号数verilog中所有内部信号都是静态变量,因为它们的值都在reg中存储起来② memory型只有一维数组,由reg型变量组成memory初始化只能按地址赋值,不能一次性赋值1*256的memory写法: reg mema[255:0] mema[3]=0; 不同位宽的变量之间赋值,处理之前都以被赋值的变量位宽为准扩展或截取A[a:b] 无论a b谁大,a总是实际电路的信号高位,b总是实际电路的信号低位算术运算中如果有X值则结果为Xfor循环中的变量另外定义成integer,因为它不是实际信号,有正负;reg则以无符号数存在5、运算符(其他简单的书上有自己看)== 和!=只比较0、1,遇到z或x时结果都为x (x在if中算做假条件),结果可能是1、0、x===和!==比较更加苛刻,包括x和z的精确比较,结果可能是0、1 &&的结果只有1‘b1或1’b0两种, A&A的结果位宽则是与A相同的{1,0}为 64‘h100000000,所以拼接运算中各信号一定要指定位宽移位运算左移将保留 4'b1000<<1等于5'b10000,右移则舍弃 4'b0011等于4'b0001数字电路里位运算应用普遍,包括按位逻辑运算、移位运算、拼接运算、缩减运算6、非阻塞式赋值<=与阻塞式赋值=(比较)阻塞:在同一个always过程中,后面的赋值语句要等待前一个赋值语句执行完,后面的语句被该赋值语句阻塞非阻塞:在同一个always过程中,非阻塞赋值语句是同时进行的,排在后面的语句不会被该赋值语句阻塞<=:块结束后才能完成赋值块内所有<=语句在always块结束时刻同时赋值<=右边各变量的值是上一次时钟边沿时,这些变量当时的值用于描述可综合的时序电路=:=语句结束之后过程always才可能结束在always过程中,begin end块内按先后顺序立即赋值,在fork join内同时赋值(可能造成冲突)与assign连用描述组合电路begin end中阻塞的含义:begin ...@(A) B=C...; end 如果A事件不发生则永远不能执行下去,被阻塞了由于时钟的延时(往往在ps级),多个always(posedge)之间究竟谁先执行是个未知数使用八原则:(1)时序电路建模时,采用非阻塞赋值(2)锁存器电路建模时,采用非阻塞赋值。

Verilog入门教程笔记

Verilog入门教程笔记
译码器(Decoder)
将编码后的信号还原成原始信号,常用于数据解压缩和控制信号生成。例如, 将3个输入信号译码成8个输出信号的3-8译码器。
多路选择器设计实例
多路选择器(Multiplexer)
根据选择信号从多个输入信号中选择一个输出,常用于数据选择和路由。例如,2选1 多路选择器、4选1多路选择器等。
明确CPU需要实现哪些指令集,具备哪些功能,以及达到什么样的性 能指标。
选择合适的架构
根据需求和性能指标,选择适合的CPU架构,如RISC或CISC。
设计指令集
根据所选架构,设计相应的指令集,包括指令格式、操作码、寻址方 式等。
规划寄存器组
设计寄存器组,包括通用寄存器、特殊功能寄存器等,以满足指令执 行和数据存储的需求。
03
组合逻辑电路设计
基本门电路实现方法
01
02
03
04
05
与门(AND Gate)或门(OR Gate) 非门(NOT Gate)与非门(NAND 或非门(NOR
Ga…
Gat…
实现逻辑与操作,当所有输 入为高电平时输出高电平。
实现逻辑或操作,当任一输 入为高电平时输出高电平。
实现逻辑非操作,将输入信 号取反后输出。
实现二进制数的减法运算,可 以通过加法器和取反器来实现。
实现二进制数的乘法运算,通 常采用逐位相乘再相加的方式 实现。
实现二进制数的除法运算,通 常采用逐位相除再减去的方式 实现,也可以使用更高效的算 法如SRT除法算法等。
04
时序逻辑电路设计
触发器类型及特点介绍
RS触发器
具有置0、置1和保持功能,是基 本存储单元。
数字电路的设计。
发展历程

Verilog学习笔记基本语法篇(十二)········编译预处理

Verilog学习笔记基本语法篇(十二)········编译预处理

Verilog学习笔记基本语法篇(⼗⼆)········编译预处理hVerilog HDL语⾔和C语⾔⼀样也提供编译预处理的功能。

在Verilog中为了和⼀般的语句相区别,这些预处理语句以符号"`"开头,注意,这个字符位于主键盘的左上⾓,其对应的上键盘字符为"~",这个符号并不是单引号"'".这⾥简单介绍最常⽤的`define `include `timescale.1)宏定义`define⽤⼀个指定的标识符(名字)来代表⼀个字符串,其的⼀般形式为: `define 标识符(宏名)字符串(宏内容)如:`define SIGNAL string其作⽤是在后⾯程序中⽤SIGNAL替代所有的string字符串,在编译预处理时,将程序中该命令后⾯所有的SIGNAL替换为string。

这种替代过程称作宏展开。

说明:a)宏名可以是⼤写字母,也可以是⼩写字母。

⼀般⽤⼤写字母,防⽌与后⾯的变量名重复。

b)`define可以出现在模块定义⾥⾯,也可以出现在外边。

其有效范围是从该命令⾏开始⾄源⽂件结束。

c)在引⽤已定义的宏名时,必须在宏名的前⾯加上符号`,表⽰该名字是⼀个经过宏定义的名字。

d)宏定义是⽤宏名代替⼀个字符串,只做简单替换不检查语法。

e)宏定义不是Verilog HDL语句,不必在后⾯加分号。

f)在进⾏宏定义时,可以引⽤已经定义的宏名,可以层层替换。

g)宏名和宏内容必须在同⼀⾏进⾏声明。

如果在宏内容中包含有注释⾏,注释⾏不会作为被置换的内容。

注意:组成宏内容的字符串不能够被以下的语句记号分隔开。

注释⾏+数字+字符串+确认符+关键词+双⽬或三⽬运算符如下⾯的宏定义声明和引⽤就是⾮法的:`define first_half "start of string$display(`first_half end of string")2)⽂件包含处理`include所谓⽂件包含是指处理⼀个源⽂件可以将另⼀个源⽂件的全部内容包含进来,即将另外⽂件包含到本⽂件之中。

Verilog学习笔记基本语法篇(九)········任务和函数

Verilog学习笔记基本语法篇(九)········任务和函数

Verilog学习笔记基本语法篇(九)········任务和函数task 和 function 说明语句分别⽤来定义任务和函数,利⽤任务和函数可以把函数模块分成许多⼩的任务和函数便于理解和调试。

任务和函数往往还是⼤的程序模块在不同地点多次⽤到的相同的程序段。

输⼊、输出和总线信号的数据可以传⼊、传出任务和函数。

task 和 function 的不同:1)函数只能与主模块共⽤同⼀个仿真的时间单位,⽽任务可以⾃⼰定义⾃⼰的仿真时间单位。

2)函数不能启动任务,但是可以调⽤其它函数,但是任务可以调⽤其他函数和任务;3)函数⾄少要有⼀个输⼊变量,⽽任务可以没有或者有多个任何类型的变量。

4)函数返回⼀个值,⽽任务不返回任何值。

函数的⽬的值通过⼀个返回值对输⼊的信号进⾏响应。

⽽任务可以⽀持多种⽬的,能计算多个结果值,这些值只能通过任务的输出端⼝或者总线端⼝输出。

A) task说明语句如果传给任务的变量和任务完成后接受结果的变量已经定义,就可以⽤⼀条语句启动任务,任务完成以后控制就传回启动过程。

如果任务内部有定时设置,则启动的时间可以与控制返回的时间不同。

1)任务的定义;task <任务名>;<端⼝及数据类型声明语句><语句1>...<语句n>endtask2)任务的调⽤以及变量的传递:任务定义;task my_task;input a,b;inout c;output d,e;.... //执⾏任务的相应语句c=foo1; //对任务的变量赋初始值b=foo2;e=foo3;endtask任务的调⽤: my_task(v,w,x,y,z)任务调⽤变量(v,w,x,y,z)和任务定义的I/O变量(a,b,c,d,e)是⼀⼀对应的。

任务启动时,v,w和x的值给了a b c,结束时c,d,e的值返回给x,y,z。

veriloghdl学习心得

veriloghdl学习心得

竭诚为您提供优质文档/双击可除veriloghdl学习心得篇一:Verilog学习心得Verilog学习心得因为Verilog是一种硬件描述语言,所以在写Verilog语言时,首先要有所要写的module在硬件上如何实现的概念,而不是去想编译器如何去解释这个module.比如在决定是否使用reg定义时,要问问自己物理上是不是真正存在这个register,如果是,它的clock是什么?D端是什么?Q端是什么?有没有清零和置位?同步还是异步?再比如上面讨论的三态输出问题,首先想到的应该是在register的输出后面加一个三态门,而不是如何才能让编译器知道要“赋值”给一个信号为三态。

同样,Verilog 中没有“编译”的概念,而只有综合的概念。

写硬件描述语言的目的是为了综合,所以说要想写的好就要对综合器有很深的了解,这样写出来的代码才有效率。

曾经接触过motorola苏州设计中心的一位资深工程师,他忠告了一句:就是用verilog描述电路的时候,一定要清楚它实现的电路,很多人只顾学习verilog语言,而不熟悉它实现的电路,这是设计不出好的电路来的.一般写verilogcode时,对整个硬件的结构应该是很清楚了,最好有详细的电路图画出,时序问题等都应该考虑清楚了。

可以看着图直接写code。

要知道,最初Verilog是为了实现仿真而发明的.不可综合的Verilog语句也是很重要的.因为在实际设计电路时,除了要实现一个可综合的module外,你还要知道它的外围电路是怎样的,以及我的这个电路与这些外围电路能否协调工作.这些外围电路就可以用不可综合的语句来实现而不必管它是如何实现的.因为它们可能已经实际存在了,我仅是用它来模拟的.所以,在写verilog的时候应该要先明确我是用它来仿真的还是综合的.要是用来综合的话,就必须要严格地使用可综合的语句,而且不同的写法可能产生的电路会有很大差别,这时就要懂一些verilog综合方法的知识.就像前面说的,脑子里要有一个硬件的概念.特别是当综合报错时,就要想一想我这种写法能不能用硬件来实现,verilog毕竟还不是c,很多写法是不可实现的.要是这个module仅是用来仿真的,就要灵活得多了,这时你大可不必太在意硬件实现.只要满足它的语法,实现你要的功能就行了.有网友说关于#10clk=~clk的问题,虽然这种语句是不可综合的,但是在做simulation和verification是常常用它在testbench中来产生一个clock信号。

FPGA学习笔记(4)-FPGA数据类型(wire、reg)

FPGA学习笔记(4)-FPGA数据类型(wire、reg)

FPGA学习笔记(4)-FPGA数据类型(wire、reg)FPGA_数据类型:这⾥仅研究研究wire型和reg型,在使⽤和声明时的异同。

wire表⽰直通,即只要输⼊有变化,输出马上⽆条件地反映,wire使⽤在连续赋值语句中——物理连接reg表⽰⼀定要有触发,输出才会反映输⼊,reg使⽤在过程赋值语句中——存储、变更、存储连续赋值语句与⼯程赋值语句:1)在连续赋值语句中,表达式右侧的计算结果可以⽴即更新表达式的左侧。

在理解上,相当于⼀个逻辑之后直接连了⼀条线,这个逻辑对应于表达式的右侧,⽽这条线就对应于wire。

2)在过程赋值语句中,表达式右侧的计算结果在某种条件的触发下放到⼀个变量当中,⽽这个变量可以声明成reg类型的。

根据触发条件的不同,过程赋值语句可以建模不同的硬件结构:如果这个条件是时钟的上升沿或下降沿,那么这个硬件模型就是⼀个触发器;如果这个条件是某⼀信号的⾼电平或低电平,那么这个硬件模型就是⼀个锁存器;如果这个条件是赋值语句右侧任意操作数的变化,那么这个硬件模型就是⼀个组合逻辑Verilog程序模块中输⼊、输出信号类型默认⾃动定义为wire型(未定义时)。

1.Wire型Wire型数据类型表⽰结构实体(如门)之间的物理连接。

Wire型的变量不能储存值,⽽且它必须受到驱动器(assign)的驱动,如果没有assign,则该变量为⾼阻态Z。

1)Wire型变量可以⽤作任何⽅程式的输⼊,也可以⽤作“assign”语句或实例元件的输出。

2.Reg型Reg型数据类型是数据存储单元的抽象。

Reg型数据类型声明后默认值是不定值。

1)Reg型数据作为操作数时,作为⽆符号值即正值。

2)Always模块内被赋值的每⼀个信号都必须定义成reg型简单来说硬件描述语⾔有两种⽤途:1、仿真,2、综合。

对于wire和reg,也要从这两个⾓度来考虑。

1. 从仿真的⾓度来说,HDL语⾔⾯对的是编译器(如Modelsim等),相当于软件思路。

SystemVerilog断言学习笔记

SystemVerilog断言学习笔记

SystemVerilog断⾔学习笔记SystemVerilog断⾔学习笔记1⼀、前⾔随着数字电路规模越来越⼤、设计越来越复杂,使得对设计的功能验证越来越重要。

⾸先,我们要明⽩为什么要对设计进⾏验证?验证有什么作⽤?例如,在⽤FPGA进⾏设计时,我们并不能确保设计出来的东西没有功能上的漏洞,因此在设计后我们都会对其进⾏验证仿真。

换句话说,验证的⽬的是彻底地验证被测设计以确保设计没有功能上的缺陷。

⽽即将介绍的SystemVerilog断⾔便是⼀门重要的验证技术,它可以尽早发现设计的缺陷以及提⾼验证的效率。

⼆、基本概念1、什么是断⾔断⾔是设计属性的描述。

⽽断⾔可以从设计的功能描述中推知,然后转换成断⾔。

那么断⾔是如何表现的呢?当⼀个被检查的属性不像我们期望的那样表现时,则该断⾔失败;当⼀个禁⽌在设计中出现的属性发⽣时,则该断⾔失败。

2、为什么要使⽤SystemVerilog断⾔Verilog HDL也能实现断⾔,但其存在不⾜之处:Verilog HDL是⼀种过程语⾔,不能很好地控制时序;Verilog HDL是⼀种冗长的语⾔,随着断⾔数量的增加,维护代码将变得很困难;语⾔的过程性使得测试同⼀时间段内发⽣的并⾏事件相当困难;Verilog HDL没有提供内嵌的机制来提供功能覆盖的数据。

⽽SystemVerilog断⾔具有如下特征:它是⼀种描述性语⾔,可以完美描述时序的状况;语⾔本⾝⾮常精确且易于维护;语⾔的描述性提供了对时间卓越的控制;它提供了若⼲个内嵌函数来测试特定的设计情况,并且提供了⼀些构造来⾃动收集功能覆盖数据。

可见,使⽤SystemVerilog断⾔具有⾮常⼤的优势。

三、验证平台⼀个包含SystemVerilog断⾔的验证环境如下图所⽰:注:约束随机测试平台可以⽤来产⽣更多真实的验证情景;代码覆盖则是验证完整性的基本衡量标准。

⼀般情况下,测试平台需要做三件事:产⽣激励;⾃检机制;衡量功能覆盖。

verilog学习笔记-verilog基本语法

verilog学习笔记-verilog基本语法

verilog学习笔记-verilog基本语法1.verilog中逻辑表⽰ 在verilog中,有4中逻辑: 逻辑0:表⽰低电平 逻辑1:表⽰⾼电平 逻辑X:表⽰未知电平 逻辑Z:表⽰⾼阻态2.Verilog中数字进制 Verilog数字进制格式包括⼆进制、⼋进制、⼗进制和⼗六进制。

⼀般常⽤的为⼆进制、⼗进制和⼗六进制。

d:表⽰⼗进制 b:表⽰⼆进制 o:表⽰⼋进制 h:表⽰⼗六进制 verilog中数字的表⽰⽅法: ⼆进制表⽰如下:4'b0101 表⽰4位⼆进制数字0101 ⼗进制表⽰如下:4'd2 表⽰4位⼗进制数字2(⼆进制0010) ⼗六进制表⽰如下:4'ha 表⽰4位⼗六进制数字a(⼆进制1010) 在verilog中负数的表⽰⽅法:在位宽前⾯加符号 例如: -5'd25:表⽰5位的⼗进制数-25 在veriog中如果位宽缺省则默认位宽是32位宽:'b1001表⽰32位的⼆进制数0000_0000_0000_0000_0000_0000_0000_1001。

在veriog中如果进制缺省则默认⼗进制。

3.标识符 ⽤于定义模块名,端⼝名,信号名等。

标识符可以是任意⼀组字母、数字、$符号和_(下划线)符号的组合。

但是第⼀个必须是字母或者下划线,⽽且标识符区分⼤⼩写。

书写标识符时应该简洁明了清晰,最好能够体现含义,如: clk_50M:表⽰50兆赫兹时钟。

cpu_addr:表⽰CPU的地址线4.数据类型 在verilog⾥有三种数据类型: reg型:寄存器数据类型。

wire型:线⽹型数据类型。

tri型:线⽹型数据类型。

reg型: 寄存器表⽰⼀个抽象的数据存储单元,通过赋值语句可以改变寄存器储存的值,寄存器数据类型的关键字是 reg,reg 类型数据的默认初始值为不定值x reg [7:0] data //表⽰定义了⼀个8位的寄存器类型的数据data. reg cnt_reg //表⽰定义了⼀个⼀位的寄存器类型的数据cnt_reg reg [7:0] data [255:0] //定义了256个8位的寄存器data reg类型的数据只能在 always 语句和 initial 语句中被赋值。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Verilog学习笔记1.我的第一个verilog程序:三态门module three_status_device(in,out,oe);input in,oe;output out;assign out = (oe)? in : 1'bz;endmodule其中oe为输出有效端,当oe置高则输入能顺利通过,否则输出高阻态。

查看Technology Schematic后可知three_status_device模块使用的FPGA内部资源:分别是输入缓冲器IBUF,非门INV和三态缓冲器OBUFT。

我们还可以通过View Synthesis Report来观察到底使用了多少资源:我们可以看出所选的芯片类型为V5系列的fx100,SPEED等级为-2,使用了1个查找表,1个Flip Flop触发器和3个IO口。

由于模块比较简单,我们直接进入后仿真阶段;最后,我们可以通过中的View HDL InstantiationTemplate看到生成的HDL模板供我们调用实例:three_status_device instance_name (.in(in),.out(out),.oe(oe));小结:通过设计三态门,熟悉了verilog开发的主要流程和ise中的常用工具。

反思:对于高阻态,一般FPGA内部是不支持判断的。

现在有些比较新的FPGA内部已经带有BUFT三态门让用户直接调用(在IOB中),而对于市面上常用的FPGA则无法做到,因为内部并没有BUFT三态门,所以就需要用到slice资源中的MUX复用器,用MUX除了多占用LC/LE的资源以外,受控信号(如数据总线等)会随着驱动源的增加而使延时加大。

也有说法是使用RAM或ROM的总线结构提供高阻态的输出。

在FPGA开发时,一般将不用的IO口设置为三态状态,如果IO口较多的时候既占用连线资源也占用slice资源,对系统产生延迟。

2.组合逻辑:有毛刺怎么办?引用《数字电路基础》的描述,当一个逻辑门的两个输入端的信号同时向相反方向变化,而变化的时间有差异的现象,称为竞争。

由竞争而可能产生的输出干扰脉冲的现象就叫做冒险,也就是通俗上说的毛刺。

书上还给出了常用的消除竞争冒险的方法:○1消除互补相乘项:通过人为优化逻辑表达式,消去同一信号的同反相同时存在项,降低竞争的发生几率。

○2增加乘积项避免互补项相加:若组合逻辑表达式中,在某些信号取一定值的情况下,表达式可化为一个信号的同反相同时相乘或相加时,则需要人为加入相乘项以确保此时输出状态的稳定。

那么在verilog如何实现消除毛刺呢?信号在fpga器件中通过逻辑单元连线时,一定存在延时。

延时的大小不仅和连线的长短和逻辑单元的数目有关,而且也和器件的制造工艺、工作环境等有关。

因此,信号在器件中传输的时候,所需要的时间是不能精确估计的,当多路信号同时发生跳变的瞬间,就产生了“竞争冒险”。

这时,往往会出现一些不正确的尖峰信号,这些尖峰信号就是“毛刺”。

另外,由于fpga以及其它的cpld器件内部的分布电容和电感对电路中的毛刺基本没有什么过滤作用,因此这些毛刺信号就会被“保留”并传递到后一级,从而使得毛刺问题更加突出。

尽管毛刺持续时间很短,但在高速电路中,这样的毛刺足以使后一级电路产生“误动作”。

要消除毛刺,我们先要了解FPGA内部毛刺的具体特点:由于布线延迟,和器件延迟,取决于FPGA内部结构,这个涉及到约束问题,/shineboy19850420/blog/09-10/178252_efbd3.html,由于接触不多,不在此进行讨论。

通过阅读资料,可以知道大多数毛刺都比较短(大概几个纳秒),只要毛刺不出现在时钟跳变沿,毛刺信号就不会对系统造成危害。

FPGA中消除毛刺的常用方法是:1.触发器输出通过添加触发器,使输出信号在clk跳变沿进行读取,并输出,能有效地降低毛刺的发生几率。

但这样的话,延时也就增大。

但是,毛刺的产生是不定时的,如果毛刺在时钟跳变时期产生,则使用触发器的方法无法解决问题。

2.信号延时法信号延时法,顾名思义,延时信号处理时期,等待信号稳定时再对数据进行处理。

它的具体做法有很多:○1信号延时检测信号延时方法很多,如使用门级电路延时,fpga的专用延时单元lcell,毛刺的产生随机性,单凭延时是无法解决问题的。

○2时钟延时像使用触发器的原理类似,通过增加时钟计数器,对时钟进行分频,加大时钟间隔,来保证对信号进行处理的时候信号已经稳定;或者为防止在信号检测时钟跳变时,信号发生变化,延时对信号检测时间,比如加入标志位寄存器,信号跳变后的下一个检测时钟对其检测。

这针对检测时期瞬变信号导致检测错误的方法。

○3状态机检测使用状态机对信号进行多次检测,首先第一次检测信号,进入下一状态,再次检测信号并与前面进行比较,如果不同则重新开始检测知道检测一定次数后确定信号不变动后,进行数据处理。

这种方法结合了上述方法,极好地消除了竞争冒险。

信号延时法缺点是用速度换取电路的稳定性,我们只能择优而取。

下面我们来看一些例子:按照设想out=abc+bde;其时序图要求如下:(用TimingDesigner画图)下面我们一一验证一下不同方法所实现的逻辑组合的效果。

//方法一,直接使用assign语句(数据流)assign out = a&b&c|d&e&b;类似的描述组合逻辑方法还有:always @ (a or b or c or d or e)begintemp1 = a&c|d&e; out = temp1&b;end wire temp1,temp2;and myand1(temp1,a,b,c); and myand2(temp2,d,e,b); or myor(out,temp1,temp2);由于表达式out=abc+bde可转换成out=b(ac+de),因此b的取值至关重要,当输入信号b变为0时,输出即变为0,所以上述语句一般写成:wire temp;assign temp = a&c|d&e;assign out = temp&b;这样一来,当b变为0,输出立即变化,这就是关键路径的选取。

关键路径所生成的RTL结构如下:与没有选取关键路径相比:由行为仿真波形可以看出,这样的逻辑设计与设想相同:我们通过修改仿真文件.twf 对某些输入信号进行人为的延时,观察加入延时后出来的效果:输入信号波形代码段均写在Initial段中:(我们就在这里修改),为了保持毛刺持续时间尽量满足实际情况(一般为十几ns)所以这里设置延时均为10ns,即在`timescale 1ns/1ps 情况下,使用#10;语句进行延时动作。

initial begin// ------------- Current Time: 490ns#490;a = 1'b1;b = 1'b1;c = 1'b1;d = 1'b1;// -------------------------------------// ------------- Current Time: 1090ns#600; a = 1'b0; c = 1'b0;#10; e = 1'b1;#10; b = 1'b0;// -------------------------------------// ------------- Current Time: 1690ns#600; b = 1'b1;#10; e = 1'b0;// -------------------------------------// ------------- Current Time: 2290ns#600; e = 1'b1;// -------------------------------------// ------------- Current Time: 2690ns#400; e = 1'b0;// -------------------------------------// ------------- Current Time: 3090ns#400; e = 1'b1;#10; b = 1'b0; c = 1'b1;// -------------------------------------// ------------- Current Time: 3690ns#600; b = 1'b1;#10; d = 1'b0;#10; a = 1'b1;// -------------------------------------end仿真出来的效果(毛刺出现了)可见,尽管做出了关键路径的选取,组合逻辑电路还是非常容易产生毛刺。

下面我们使用上面的说法,加入寄存器后观察结果。

reg out; wire temp;assign temp = a&b&c|d&e&b;always @ (posedge clk)out = temp;从RTL结构图中可以直观看到加入D触发器(即寄存器)输出:依旧采用改动后的仿真文件,所得出的仿真波形如下:可见,上面的毛刺完全被消除了,而且不留一点痕迹。

由于使用触发器免不了使用时钟,详细的使用放到后面的时序电路学习一节中。

小结:从本节中,实现了简单的逻辑组合,并透过阅读修改仿真文件,了解毛刺的产生;通过观察RTL结构图,了解到代码风格与生成的模型之间的联系,并通过使用D触发器消除毛刺。

反思:如果外部情况比较恶劣,延时级别大于ns级,或者输入信号的跳变在时钟跳变时期发生,会产生什么效果,这需要在后面的学习中逐步体会。

3.使用组合逻辑:加法器的设计一个设计,其实现方案多样,而且不同的实现方案,电路结构是不一样的,这将导致速度或使用资源的差异。

所以,在学习过程中,需要对不同的方法进行比较,了解各种编码风格实现的差别,对将来的系统设计有极大的帮助。

下面我们以加法器的设计为引导,延伸本节的讨论。

首先,我们要知道一个加法器模型应该有的端口,他们分别为:加数,被加数,上级进位,和,进位这几个端口。

注意verilog的编码规范,模块端口有高位至低位,从输出到输入进行描述:module adder(cout,sum,clk,rst,a,b,cin);(1)实现方法一assign { cout, sum } = a + b + cin;这样看来,加法器的实现非常简单,从结构上看,它直接调用了一个加法器(如图):但是对于“+”号的综合,FPGA内部是采用查找表所实现的,下面是由上述语句生成的二级查找表结构:(查找表的级数是延时的主要因素,降低查找表级数是代码优化的首要任务)其中LUT3_E8表示3输入查找表,E8指查找表内部真值表的结果值。

相关文档
最新文档