四人智力竞赛抢答器课程设计
数电课程设计四人智力竞赛抢答器..
竞赛抢答器要求:➢设计一个供四人参赛的抢答器,能准确分辨、记录第一个有效按下抢答键者,并用光指示;➢主持人没有宣布抢答开始时,抢答不起作用。
主持人宣布抢答开始时,按“开始”键,抢答开始,同时启动计时器计时;拓展要求:➢外加一个计时器,计时器计时采用正计数的方式,以加强现场气氛,增加紧迫感。
若预定时间内无人抢答,自动给出信号停止抢答,以免冷场。
倒计数定时器的时间精确到秒,最多为两分钟,一旦超出限时,则取消抢答权。
设计提示:➢关键是要存住第一抢答者的信息,并阻断以后抢答者的信号。
可用集成的多组触发器或锁存器辅以逻辑门实现;;➢计时器可用加法计数器完成;➢各单元电路分别设计、调试,最后合成。
目录1.前言 (1)2.总体方案设计 (2)2.1 方案说明 (2)2.2方案优点 (4)3.单元模块设计 (5)3.1抢答器电路 (5)3.2计时器,数字显示器电路 (8)4.主要器件介绍 (14)5.系统功能调试 (16)6.总结与体会 (17)【参考文献】 (18)附录 (19)1前言关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。
可见抢答器在现实生活中确实很实用,运用前景非常广泛。
在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。
如果在抢答中,只靠人的视觉是很难判断出哪组先答题。
这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。
本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。
这次设计的智力竞赛抢答器,主要是由三个部分构成:一个是由几个D触发器的构成的用于抢答的部分,用三个74LS192构成用于计时器2.总体方案设计2.1 方案说明:以下设计的是智力抢答器的方案流程图:图2.1 方案流程图抢答器主要是由F1是四D触发器74LS175,F2是双四输入与非门74LS20;F3是74LS20组成的多谐振荡器;F4是74LS74组成的四分频电路。
四人抢答器课程设计报告
电子技术课程设计智力竞赛抢答器姓名:郑亚林班级:08级电子一班学号:200800800461指导老师:李素梅四人智力竞赛抢答器一、设计目的1、掌握四人智力竞赛抢答器电路的设计。
2、熟悉数字集成电路的设计和使用方法。
3、掌握应用Multisim软件对电路的设计及仿真二、设计任务与要求1、设计任务设计一个可供4名选手参加比赛的智力竞赛抢答器的数字电路。
设定答题时间为30秒倒计时,时间到时,蜂鸣器会报警至主持人按下复位键。
选手抢答时,数码显示选手组号,同时计分部分对应选手组别的发光二极管发光,在30秒内答对时主持人按下加分键,给相应的组别加一分。
2、设计要求(1)4名选手编号为:1,2,3,4。
各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为J1,J2,J3,J4。
(2)给主持人设置一个控制按钮J5,用来控制系统清零(抢答显示数码管灭灯)和一个加分按钮J6,用来给同学加分,设定最高分为9(3)抢答器具有数据锁存和显示的功能。
抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。
抢答选手的编号一直保持到主持人将系统清零为止。
(4)抢答器具有定时30秒答题倒计时的功能。
当选手按下抢答按钮后,开始倒计时,显示器显示倒计时间,倒计时结束时,扬声器响,保持到主持人将系统清零为止。
三、四人智力竞赛抢答器电路原理及设计1、设计思路抢答器整个系统可分为三个主要模块:抢答鉴别模块、计分模块、答题倒计时模块。
即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用数码管把选手的编码显示出来,对应加分模块的发光二极管也会发光。
当有选手抢答成功后,30秒答题倒计时开始跑秒,若选手在规定的时间内答对题,主持人按下J6给相应的选手加一分并且按下J5,系统清零。
2、系统框图抢答开始后,当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。
四人抢答器设计报告
四人抢答器设计报告一、设计任务及要求1、设计用于竞赛的四人抢答器(1)有多路抢答器,台数为四;(2)具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警;(3)能显示超前抢答台号并显示犯规报警;2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响起,直至该路按键放松,显示牌显示该路抢答台号;3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路;4、完成电路全部设计后,通过系统实验箱下载验证设计课题的正确性。
二、四人抢答器框图及设计说明系统复位后,反馈信号为一个高电平,K1、K2、K3、K4输入有效。
当抢答开始后,在第一位按键后,保持电路低电平,同时送显示电路,让其保存按键的台号并输出,同时反馈给抢答台,使所有抢答台输入无效,计时电路停止;当在规定的时间内无人抢答时,倒计时电路输出超时信号;当主持人开始说话未说完有人抢先按键时,显示犯规信号。
当选手回答正确时加分,回答错误时减分。
由主持人控制加减分数。
三、设计思路:根据设计框图和设计要求,本次实验可以采用模块化设计方法来实现智力竞赛四人抢答器。
将抢答器划分为抢答鉴别保持模块,倒计时模块,记分模块和判断显示模块。
再利用元件例化语句将这四个模块组成总的抢答器的设计电路。
选用模式五进行程序的下载。
四、VHDL语言设计与分析1、鉴别模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jianbie isport(nu1,nu2,nu3,nu4:in std_logic;clk,en,rst:in std_logic;warn:out std_logic;back:buffer std_logic;s:out std_logic_vector(3 downto 0));end jianbie;architecture jianbiebeh of jianbie issignal num,warnd:std_logic;signal cnt:std_logic_vector(2 downto 0);beginnum<=nu1 or nu2 or nu3 or nu4;p1:process(rst, nu1,nu2,nu3,nu4,back) --判断抢答信号beginif rst='1' then back<='1';s<="0000";elsif back='1' thenif nu1='1' then s<="0001";back<='0'; --一号台抢答,输出S为1 elsif nu2='1' then s<="0010";back<='0'; --二号台抢答,输出S为2elsif nu3='1' then s<="0011";back<='0'; --三号台抢答,输出S为3 elsif nu4='1' then s<="0100";back<='0'; --四号台抢答,输出S为4 else back<='1'; s<="0000"; --无人抢答,输出S为0end if ;end if;end process p1;p2:process(clk,en,back,rst,cnt)beginif rst='1' then cnt<="000";warnd<='0';elsif clk'event and clk='1' thenif en='0' and back='0' thenif cnt<"111" then warnd<=not warnd; cnt<=cnt+1;else warnd<='0';end if; end if;end if;end process p2;warn<=warnd;end jianbiebeh;鉴别保持模块由两个进程组成,进程一主要用于鉴别强大信号,进程二用于鉴别是否为超前抢答,若是超前抢答,则输出报警信号。
课程设计(四人抢答器)实验报告
课程设计(四人抢答器)实验报告课题:四人智力抢答器专业:班级:学号:姓名:指导教师:设计日期:成绩:电气学院四人智力抢答器设计报告一、设计目的作用1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。
2.熟悉数字集成电路的设计和使用方法。
二、设计要求设计一台可供4名选手参加比赛的智力竞赛抢答器。
当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。
(1) 4名选手编号为:1,2,3,4。
各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。
(2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。
(3) 抢答器具有数据锁存的功能。
抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其他选手抢答。
抢答选手的指示灯一直保持到主持人将系统清零为止。
(4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。
三、设计的具体实现1、系统概述电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。
当有选手抢答时首先锁存,防止其他选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图:(1)以锁存其为中心的编码显示器抢答信号的判断和锁存可以采用触发器或锁存器。
若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。
其真值表为:锁存器输出编码器输出Q4 Q3 Q2 Q1 D C B A0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 1 0 0 0 0 1 11 0 0 0 0 1 0 0(2)脉冲产生电路:采用555组成的振荡器做触发器的时钟脉冲。
(3)音响电路:可以利用555组成的振荡器输出脉冲,接入蜂鸣器,当选手按下按键时鸣叫,知道主持人清零为止。
4抢答器(格式)电子课设
课程设计任务书(指导教师填写)课程设计名称电子技术课程设计学生姓名专业班级设计题目智力竞赛抢答器一、课程设计的任务和目的任务:为竞赛活动设计一个可容纳四个代表队参加比赛的抢答器,要求准确、公正、直观地判断并用数显、发光二极管、音响多种手段指示出第一抢答者。
目的:掌握智力竞赛抢答器的设计、组装、调试方法。
掌握有关集成电路的工作原理。
二、设计内容、技术条件和要求1.设计四路智力竞赛抢答器:⑴.设计一个可容纳四组参赛的智力竞赛抢答器,每组设置一个抢答按钮供抢答者使用,并用发光二极管表示其各自的工作状态(抢答成功则对应的发光二极管亮,否则不亮)。
⑵.主持人的功能:发出抢答开始指令、系统清零以及预置限时时间(30秒或60秒)。
⑶.电路具有第一抢答信号的鉴别和锁存功能:用数码管显示第一抢答者的组别序号,并保持到主持人清零为止,禁止显示后动作小组的所有信息。
⑷.抢答器应具备时间显示功能和限时功能:当主持人发出抢答指令时,时间显示电路应每隔一秒显示一次时间;若在预置的限时时间内有人抢答,时间显示电路应停止工作并用短声提示;若限时时间到仍无人抢答,时间显示电路也应停止工作,并用短声提示。
⑸.声显示功能:当发出抢答信号或限时时间到都应发出持续2~3秒的单音或双音音响以作提示之用。
⑹.记分(减分)、犯规、奖惩记录以及答题限时功能可选做。
2.根据上述要求,画出电路框图、原理总图。
3.对原理图进行仿真。
4.在实验箱上组装、调试。
5.撰写设计总结报告。
三、时间进度安排本课程设计共两周时间。
第一周:理论设计周一:布置设计任务;提出课程设计的目的和要求;讲解电子电路的一般设计方法和电子电路的安装、调试技术;明确对撰写总结报告和绘制原理总图的要求;安排答疑、实验时间。
周二至周五:学生查资料,进行理论设计,其中安排两次答疑,指导学生设计。
周五,交设计草图供老师审阅。
第二周:仿真和安装调试、撰写设计总结报告周二至周四:在EDA实验室对其设计的电路进行仿真,并可根据仿真情况修正设计以确定设计正确,能完成设计要求。
四组智力竞赛抢答器设计
哈尔滨工程大学项目报告项目名称:四组智力竞赛抢答器设计班级:20100434学号:2010043402姓名:赵涛项目难度项目答辩项目报告总分项目成绩项目名称:四组智力抢答器设计项目简介:四组智力抢答器设计的主要内容包括:设计四组智力抢答器设计的硬件模型、编写I/O分配表、画PLC接线图、编写梯形图程序以及程序的调试和运行。
1、四组智力抢答器设计的控制要求:四组智力抢答器如图1所示。
知识竞赛抢答器能适合以下比赛规则:出题后,各队员抢答必须在主持人说出“开始”并按下裁判台的开始按钮SB1后10S内抢答。
(若有选手在主持人未按下开始按钮就开始抢答则报警)10S时间到,如无队抢答,则抢答器给出时间已到信号,该题作废。
在有队抢答的情况下,则抢答器发出“抢答”信号,抢到题的队必须在15S内答完题,如15S内未答完,则作超时违规处理,信号灯发出超时信号,答题结束。
主持人抢答超时开始答题超时选手一选手二选手三选手四信号灯1信号灯2信号灯3信号灯41号犯规灯2号犯规灯3号犯规灯4号犯规灯图1 四组智力抢答器示意图2、时序图:根据四组智力抢答器的控制要求,四组智力抢答器的时序图如图2所示,这是编制梯形图的基础。
开始SB1停止SB2抢答超时计时答题超时计时提前抢答报警答题超时报警10S15S抢答指示灯提前抢答某位抢答成功图2 四组智力抢答器时序图3、I/O地址分配表根据四组智力抢答器的控制要求,本系统所用的硬件包括西门子S7-300 PLC、启动按钮SB1、停止按钮SB2、输出器件。
系统的I/O分配表如表1所示。
表1 I/O地址表输入输出地址代号输入信号地址代号输出信号I1.0SB1主持人开始按钮Q11.0HL0主持人灯I1.1SB2主持人停止按钮Q11.1HL1信号灯1I1.2SQ1一号选手按钮Q11.2HL2信号灯2I1.3 SQ2 二号选手按钮Q11.3 HL3 信号灯3I2.0 SQ3 三号选手按钮Q12.0 HL4 信号灯4I2.1 SQ4 四号选手按钮Q12.1 HL5 抢答超时灯Q12.2 HL6 1号犯规灯Q12.3 HL7 2号犯规灯Q13.0 HL8 3号犯规灯Q13.1 HL9 4号犯规灯Q13.2 HL10 答题超时灯4、系统接线图:根据四组智力抢答器的控制要求,PLC 接线图如图3所示 。
课程设计报告(四人智力抢答器)
智力竞赛抢答计时器一、设计要求与任务1设计任务智力竞赛抢答器是一名裁判员,他的任务是从若干竞赛者中确定最先抢答者,并要求参赛者在规定的时间里回答完问题。
本设计要求设计一个四人参加的智力竞赛抢答器,每个参赛者控制一个按钮,用按动按钮发出抢答信号;竞赛主持人另有一个按钮,用于将电路复位,竞赛开始后,先按动按钮者将对应的一个发光二级管点亮,此后其他三人再按动按钮对电路不起作用,同时电路具有回答问题时间控制功能,要求回答时间小于60秒(显示0~59),时间显示选用倒计时方式,当达到规定时间时给出警告(警告灯闪烁)。
2设计要求1)4名选手编号分别为1,2,3,4;各有一个按钮,按钮的编号与选手编号灯对应,也分别为1,2,3,4;2)给主持人设置一个控制开关按钮,用来控制系统清零(抢答显示灯,数码管灭灯)和抢答的开始。
3)抢答器具有数据锁存和显示功能。
抢答开始后,若有选手按动抢答按钮,该选手编号立即补锁存,并输入编码电器,并在抢答显示器上显示时钟倒计时,封锁其他选手抢答。
直到抢答倒计时回到“0”后,回答时间到,由主持人将系统清零;4)抢答器具有定时(60秒)回答功能,当主持人按下开始按钮,并有选手第一时间抢答时,定时器开始计时,并在数码管上显示倒计时时间,倒计时结束时,回答时间到,蜂鸣器音响持续1秒,由主持人手动清零,进入下一道题的抢答环节;5)计时器采用频率为1HZ的脉冲信号作为定时计数器的CP信号,抢答电路中74LS161,CP则采用1KHZ,观察较为明显些。
二、硬件电路设计及描述由于设计任务是倒计时器,所以要用到减法器,又因为是十进制的所以我选择的主要芯片是74LS192两片,抢答功能,我利用了74LS161的预置功能,若有选手抢答时,74LS161不断反馈,不断循环预置功能,预置后,其他选手再按下抢答开关,也显示不出来,被封锁;接下来是实现显示的功能,我用的是74LS48芯片和共阴极七段显示器个两片,再根据需要我还用了74LS00(与非门)、74LS04(非门)。
四位抢答器课程设计
四位抢答器课程设计一、课程目标知识目标:1. 学生能够理解四位抢答器的电路原理,掌握基本电子元件的功能及其在电路中的作用。
2. 学生能够描述四位抢答器的工作过程,解释其抢答功能的实现原理。
3. 学生能够运用所学知识分析并解决四位抢答器在实际应用中可能出现的问题。
技能目标:1. 学生能够独立完成四位抢答器的组装和调试,培养动手实践能力。
2. 学生能够运用逻辑思维和问题解决策略,对四位抢答器进行故障排查和维修。
3. 学生能够通过团队合作,共同完成四位抢答器的设计和优化,提高沟通与协作能力。
情感态度价值观目标:1. 学生能够对电子技术产生兴趣,激发学习热情,培养积极探索的精神。
2. 学生能够在实践中体验成功与失败,培养面对挫折的勇气和解决问题的信心。
3. 学生能够认识到团队协作的重要性,培养集体荣誉感和责任感。
课程性质:本课程为电子技术实践课程,注重培养学生的动手能力、逻辑思维能力和团队协作能力。
学生特点:六年级学生,具备一定的电子技术基础,好奇心强,喜欢动手实践,但注意力集中时间有限。
教学要求:教师需结合学生特点,采用启发式教学,引导学生主动探究,注重实践操作,提高学生的参与度和兴趣。
同时,关注学生的个体差异,给予不同层次的学生适当指导,确保课程目标的实现。
通过课程学习,使学生能够将所学知识应用于实际操作中,提高综合运用能力。
二、教学内容本课程教学内容主要包括以下几部分:1. 电子元件认识:介绍四位抢答器中所用到的电子元件,如按钮、LED灯、晶体管、继电器等,使学生了解各元件的作用和特点。
2. 电路原理分析:讲解四位抢答器的电路原理,包括基本电路图、触发电路、锁存电路和译码显示电路等,帮助学生掌握抢答器的工作原理。
3. 组装与调试:指导学生进行四位抢答器的组装,学习焊接技术,学会使用万用表等调试工具,进行电路调试,确保抢答器的正常工作。
4. 故障排查与维修:教授学生如何分析四位抢答器可能出现的故障,掌握排查故障的方法,学会简单的维修技巧。
数电课程设计四人智力竞赛抢答器
数电课程设计四人智力竞赛抢答器西华大学课程设计说明书数电课程设计四人智力竞赛抢答器建议:设计一个供四人参赛的抢答器,能准确分辨、记录第一个有效按下抢答键者,并用光指示;主持人没正式宣布答对已经开始时,答对不起作用。
主持人正式宣布答对已经开始时,按“已经开始”键,答对已经开始,同时启动计时器计时;开拓建议:外加一个计时器,计时器计时采用正计数的方式,以加强现场气氛,增加紧迫感。
若预定时间内无人抢答,自动给出信号停止抢答,以免冷场。
倒计数定时器的时间精确到秒,最多为两分钟,一旦超出限时,则取消抢答权。
这次设计的智力竞赛抢答器,主要就是由三个部分形成:一个就是由几个d触发器的形成的用作答对的部分,用三个74ls192形成用作计时器-1-西华大学课程设计说明书2.总体方案设计2.1方案说明:以下设计的就是智力抢答器的方案流程图:抢答控制器光提示计时显示秒脉冲计时控制主持人控制图2.1方案流程图抢答器主要就是由f1就是四d触发器74ls175,f2就是双四输出与非门74ls20;f3就是74ls20共同组成的多谐振荡器;f4就是74ls74共同组成的四分频电路。
工作原理:是当主持人按下抢答开关时,选手能进行抢答,抢答开始时,由主持人清除信号,按下复位开关s,74ls175的输出q1~~q4全为0.所有发光二极管的led均熄灭,当主持人宣布“抢答开始”后,首先作出判断的参赛者立即按下开关,对应的发光二极管点亮,同时,通过的与非门f2送出信号锁住其-2-西华大学课程设计说明书他三个抢答者的电路,不再接受其他信号,直到主持人再次清除信号为止。
电路图如下:2.2方案优点,方案的设计是每当有选手抢答成功时,都会有声光伴随,而且是具体到每一位,给人直观的感觉。
该方案简洁、易行,而且使用到的元器件也都是我们所常用到的一些元件比如:74ls175以及开关二极管电阻显得更简单、明了。
3.单元模块设计此部分主要是详细介绍该智力抢答器各个组成模块,以及各模块的器件组成以及相应的功能。
4人抢答器课程设计报告
课题二数字式抢答器一.数字式抢答器功能概述在举办各种智力竞赛活动中,常常需要确定谁是第一个抢答的人。
数字式抢答器利用电子器件可以准确的解决这一问题。
数字式抢答器允许抢答者在规定的时间范围内进行抢答,可以用数字显示抢先者的序号,并配有相应的灯光指示和声报警功能;对犯规抢答者(指在抢答开始命令下达前抢答者),除用声、光报警外,还应显示出犯规者的序号;若规定抢答时间已过,要告示任何输入的抢答信号均无效,除非重新下达抢答命令。
二.任务和要求设计一个数字式抢答器,具体要求如下:1.要求至少控制四人抢答,允许抢答时间为10秒,输入抢答信号是在“抢答开始”命令后的规定时间内,显示抢先抢答者的序号,绿灯亮。
2.在“抢答开始”命令前抢答者,显示违规抢答者的序号;红灯亮。
3.在“抢答开始”命令发出后,超过规定的时间无人抢答,显示无用字符(可自行确定)。
4.选做:不仅能显示抢答者的序号并且能显示抢答次序。
三.原理电路和程序设计1.总体设计电路如下图所示为总体方框图。
其工作原理为:接通电源后,主持人将开关拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置开始"状态,宣布"开始"抢答器工作。
定时器倒计时,选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示,当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示零。
如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关2.单元电路设计(1)抢答器电路参考电路如下图所示。
该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。
工作过程:开关S置于"清除"端时,当有选手将键按下时74L148的四个输出相与控制74L75的使能端,使其锁存并且让它和开关相与去控制红灯亮,保证报警电路通。
4人抢答器课程设计
4人抢答器课程设计一、课程目标知识目标:1. 学生能够理解抢答器的电路原理,掌握基本的电子元件功能和使用方法。
2. 学生能够描述抢答器的工作流程,并解释其背后的科学原理。
3. 学生能够运用所学的电子知识,分析并解决抢答器在实际使用过程中可能遇到的问题。
技能目标:1. 学生通过小组合作,能够设计并搭建一个简单的4人抢答器电路。
2. 学生能够运用逻辑思维和问题解决能力,对抢答器进行调试和优化。
3. 学生能够在规定时间内,通过操作抢答器展示自己的学习成果。
情感态度价值观目标:1. 学生培养对电子科技的兴趣,激发探索精神和创新意识。
2. 学生在团队合作中,学会相互尊重、沟通协作,培养团队精神和责任感。
3. 学生通过实践活动,增强自信心,培养克服困难的意志品质。
课程性质:本课程为实践性、探究性的电子技术课程,注重理论知识与实际操作相结合。
学生特点:四年级学生具备一定的电子知识基础和动手能力,好奇心强,喜欢探索新事物。
教学要求:教师需引导学生通过小组合作、动手实践等方式,自主探索抢答器的工作原理,注重培养学生的创新能力和团队合作精神。
同时,关注学生的学习进度和情感态度,确保课程目标的实现。
在教学过程中,将课程目标分解为具体的学习成果,以便进行有效的教学设计和评估。
二、教学内容1. 电子元件基础知识:介绍抢答器中涉及的电子元件,如按钮、LED灯、晶体管、继电器等,结合教材相关章节,让学生理解各元件的功能和电路符号。
2. 电路原理:讲解抢答器的电路设计,包括基本电路图、电路连接方式、工作原理等,结合教材中的电路知识,让学生掌握抢答器电路的构成。
3. 制作与调试:引导学生分组进行抢答器制作,按照教学大纲逐步完成电路搭建、调试和优化,确保学生能够将理论知识应用于实际操作。
4. 抢答器工作流程:分析抢答器的工作流程,包括抢答、计时、显示等环节,结合教材内容,让学生深入理解抢答器的工作原理。
5. 故障分析与排除:教授学生如何分析抢答器可能出现的故障,掌握基本的故障排除方法,提高学生的问题解决能力。
四人抢答器课程设计
四人抢答器课程设计一、课程目标知识目标:1. 学生能理解抢答器的基本原理,掌握其电路组成及功能。
2. 学生能运用所学的电子技术知识,分析并设计简单的抢答器电路。
3. 学生了解抢答器在现实生活中的应用,认识到电子技术在实际问题解决中的价值。
技能目标:1. 学生能独立完成抢答器电路图的绘制,并正确识别电路中的元件。
2. 学生通过动手实践,掌握基本的焊接技巧,能够组装和调试抢答器电路。
3. 学生能够运用抢答器进行团队竞赛,提高沟通与协作能力。
情感态度价值观目标:1. 学生通过抢答器的设计与制作,培养创新意识和实践能力,增强对电子技术的兴趣。
2. 学生在团队协作中,学会尊重他人,培养合作精神,提高团队荣誉感。
3. 学生认识到科技发展对社会进步的重要性,激发学习科学技术的热情,树立为国家和民族发展贡献力量的信心。
课程性质:本课程为电子技术实践课程,注重培养学生的动手能力、创新能力和团队协作能力。
学生特点:五年级学生,具有一定的电子技术基础,好奇心强,喜欢动手实践,团队合作意识逐渐形成。
教学要求:教师应关注学生的个体差异,提供适当的指导与帮助,鼓励学生积极参与,确保课程目标的实现。
同时,注重将理论与实践相结合,引导学生将所学知识应用于实际问题解决。
通过课程学习,使学生达到预期的学习成果。
二、教学内容本课程教学内容主要包括以下三个方面:1. 抢答器基本原理及电路组成- 介绍抢答器的工作原理,包括信号发射、接收和处理过程。
- 分析抢答器电路的组成,包括按键、编码器、解码器、指示灯等。
- 引导学生结合课本第四章第二节内容,了解抢答器各部分功能及相互关系。
2. 抢答器电路设计与制作- 讲解抢答器电路图的绘制方法,引导学生识别电路中的各个元件。
- 指导学生运用第五章第一节所学知识,设计简单的抢答器电路。
- 安排实践环节,让学生动手焊接组装抢答器,并学会调试电路。
3. 抢答器应用与团队竞赛- 介绍抢答器在实际生活中的应用场景,激发学生学习兴趣。
四人抢答器课程设计
四人抢答器课程设计
课程名称:四人抢答器
课程目标:
1.学会如何使用四人抢答器进行竞赛游戏。
2.培养学生的竞赛意识和团队合作精神。
3.提高学生的反应速度和敏捷度。
4.让学生在游戏中体验到快乐的学习氛围。
教学内容:
1.什么是四人抢答器,其原理和使用方法。
2.如何进行四人抢答游戏。
3.游戏规则和注意事项。
4.不同游戏场景下的四人抢答器应用。
教学步骤:
1.介绍四人抢答器的知识,说明其原理和使用方法。
2.进行四人抢答游戏,让学生亲身体验到游戏的快乐。
3.讲解游戏规则和注意事项,引导学生遵守游戏规则,避免出
现不必要的纠纷。
4.让学生分为不同的小组,进行四人抢答器的竞赛。
5.设计不同的游戏场景,如知识问答、歌曲鉴赏、画线速度等,让学生在不同的场景下进行游戏。
6.教师进行点评,评选出表现最好的小组和个人。
教学效果评价:
1.学生能够熟练掌握四人抢答器的原理和使用方法。
2.学生能够遵守游戏规则和注意事项,团队合作精神得到了锻炼。
3.学生的反应速度和敏捷度得到了提升。
4.学生在游戏中体验到了快乐的学习氛围。
教学资源准备:
1.四人抢答器设备。
2.游戏题目和问答材料。
3.奖品或荣誉证书。
4.教室或活动场地。
教学扩展:
1.学生可以在家庭聚会或校内比赛中使用四人抢答器进行竞赛。
2.学生可以设计自己喜欢的游戏场景和题目,与同学们进行游
戏交流。
3.老师可以利用四人抢答器进行课堂互动,检测学生的学习情况。
四人抢答器课程设计
四人抢答器课程设计一、课程设计背景二、课程目标三、教学内容四、教学方法五、教学过程六、教学评价七、教学资源和评估方式一、课程设计背景四人抢答器是一种常见的竞赛游戏,可以增强参与者的注意力和反应速度。
在教育领域中,四人抢答器可以作为一种有效的教学工具,帮助提高学生的积极性和参与度。
因此,本课程设计旨在通过四人抢答器游戏来促进学生的学习兴趣和主动性。
二、课程目标1.了解四人抢答器游戏的基本规则和操作方法;2.提高学生的注意力和反应速度;3.增强学生对知识点的记忆和理解能力;4.培养团队合作精神。
三、教学内容1.四人抢答器游戏规则介绍;2.各类知识点题库准备;3.游戏操作方法讲解;4.团队合作训练。
四、教学方法1.授课法:介绍游戏规则和操作方法;2.互动式授课法:与学生互动,了解学生的知识水平;3.小组合作学习法:将学生分为小组,进行团队合作;4.游戏式学习法:通过四人抢答器游戏来进行知识点的学习。
五、教学过程1.导入环节介绍四人抢答器游戏的基本规则和操作方法,并让学生自由发挥,进行一次简单的游戏体验。
2.知识点讲解根据不同的年级和课程内容,准备相应的知识点题库,并讲解相关知识点。
在讲解过程中,可以通过互动式授课法来了解学生的知识储备情况。
3.游戏实践将学生分为小组,每个小组有一个四人抢答器。
在每个回合中,老师会出一道题目,在规定时间内,小组成员需要快速按下抢答器并回答问题。
回答正确的小组可以获得相应积分。
4.团队合作在游戏结束后,老师会进行团队合作评价。
通过这个环节可以培养学生的团队合作精神,并提高他们对于集体荣誉感和责任感。
六、教学评价1.学生表现评价:通过观察学生在游戏中的表现来评价其积极性和参与度;2.团队合作评价:通过观察小组合作情况来评价其团队合作精神;3.知识点掌握情况评价:通过观察学生对于知识点的掌握情况来进行评价。
七、教学资源和评估方式教学资源:1.四人抢答器设备;2.各类知识点题库。
四路抢答器课程设计报告
四路抢答器课程设计报告绪言为了加深对数字电子技术课程理论知识的理解,有效地提高动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力,树立严谨的科学作风,培养综合运用理论知识解决实际问题的能力。
现设计一个四人智能抢答器,通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。
四人智力竞赛抢答器一、设计任务与要求1.设计任务设计一台可供4名选手参加比赛的智力竞赛抢答器。
由主持人控制,抢答前锁定抢答器,抢答时开启电路;用发光二极管LED显示哪个选手抢到。
2.设计要求(1)4名选手编号为:1,2,3,4。
各有一个抢答按钮和发光二极管,按钮和发光二极管的编号都与选手的编号对应,也分别为1,2,3,4。
(2)给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。
(3)抢答器具有数据锁存功能。
抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,封锁输入编码电路,禁止其他选手抢答。
抢答选手的编号一直保持到主持人将系统清零为止。
、电路原理分析下图为供4人用的智力竞赛抢答装置电路,用以判断抢答优先权。
图中F1为4D触发器74LS175,它具有公共置0端和公共CP端;F2为双4输入与非门74LS20;F3是由74LS00组成的多谐振荡器;F4是由74LS74组成的4分频电路。
F3,F4组成抢答电路中的CP时钟脉冲源。
抢答开始时,由主持人清除信号,按下复位开关S5,74LS175的输出Q1~Q4全为0,所有发光二极管LED均熄灭。
当主持人宣布“抢答开始”后,首先做出判断的参赛者立即按下开关,对应的发光二极管点亮,同时,通过与非门F2送出的信号锁住其余3个抢答者的电路,不再接受其他信号,直到主持人再次清除信号为止。
四人智力抢答器原理图三、电路设计与单元电路分析1.电路设计电路由选手开关电路、主持人开关电路、触发锁存电路、时间脉冲电路、抢答鉴别电路和显示电路组成。
四人智力抢答器课程设计报告
数字电子技术课程设计报告设计课题: 四人智力竞赛抢答器学院:专业: 电子信息工程班级: 2010级电信(1)班姓名:学号:日期 2012年 12月9日——2012年12月23日指导教师:摘要在各种智力竞赛场合,抢答器是必不可少的最公正的用具。
通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。
电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。
当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。
扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。
经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。
关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器目录1 设计任务及要求 (1)2 比较和选定设计的系统方案、画出系统框图 (1)2.1 方案比较 (1)2.2 系统框图 (3)3单元电路设计、参数计算和器件选择 (3)3.1抢答电路设 (3)3.2 定时电路设计 (6)3.3报警电路设计 (9)4完整的电路图及电路的工作原理 (10)4.1完整电路图 (10)4.2 工作原理 (11)5经验体会 (12)参考文献 (12)附录A:系统电路原理图 (13)附录B:元器件清单 (14)四人智力竞赛抢答器1 设计任务及要求(1)设计一个供四人参赛的抢答器,能准确分辨、记录第一个有效按下抢答键者,稍后的其他人按下开关则无效。
抢答器具有显示功能,即选手按动按钮,相应的LED发光二极管发光,同时扬声器发出声音。
四人智力竞赛抢答器课程设计报告(最终5篇)
四人智力竞赛抢答器课程设计报告(最终5篇)第一篇:四人智力竞赛抢答器课程设计报告一、设计题目四人电子抢答器二、设计功能1.基本功能(1)抢答器同时供4名选手比赛,分别用4个按钮key5 ~ key8表示。
(2)设置一个系统重置和抢答控制开关start(key1),该开关由主持人控制。
(3)抢答器具有锁存与显示功能。
即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在数码管上显示选手号码。
选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。
2.扩展功能(1)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(30秒)。
当主持人启动“开始”键后,定时器进行减计时。
(2)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。
(3)在设定的抢答时间内如果定时时间已到,无人抢答,本次抢答无效,3.自主功能(1)抢答器具有自动计时功能,当有选手抢答答题时间(10秒)自动开始。
(2)报警电路设计:当答题时间和抢答时间将到或结束(还剩3秒时)发出蜂鸣声结束答题。
(3)每个选手有一个记分板,答对题目可以由主持人控制start 键给予加分。
每加一分响一次。
(4)长按start可以自动准备计时和系统清零,短按开始计时和暂停。
三、原理电路设计:1、方案抢答电路:使用74175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74148作为编码器,对输入的型号进行编码。
输出在共阳数码管显示主持人电路:(1)利用2个74168计数器作为倒计时的芯片,当主持人按下抢答按钮时,2个74168被置29,同时将开始倒计时。
假如在30秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。
(2)利用4个74160分别控制4位选手的得分,分别显示在4个共阳数码管。
四人智力抢答器课程设计报告
四人智力抢答器课程设计报告一、课程概述本课程以四人智力抢答器为主题,旨在培养学生的团队合作精神、思维反应速度和知识储备能力。
通过本课程的学习,学生将掌握制作智力抢答器的基本原理和方法,增强对电子电路及程序设计的基础理解,提高操作技能和创新能力。
二、课程目标1.了解智力抢答器的概念、构成和原理,能够制作出基于Arduino板的简单抢答器。
2.掌握Arduino编程语言,能够编写程序实现四人智力抢答器的功能。
3.培养学生的团队合作精神,提高思维反应速度和知识储备能力。
4.提高学生对电子电路及程序设计的基础理解,增强操作技能和创新能力。
三、教学内容1.智力抢答器的概念、构成和原理。
2.Arduino编程语言基础知识,包括数据类型、变量、常量、控制结构等。
3.编写四人智力抢答器的程序,实现抢答、计时、显示等基本功能。
4.制作智力抢答器电路板,包括硬件设计和布线。
5.完成抢答器的组装、安装和测试。
四、教学方法本课程采用多元化教学方法,包括讲授、实验、实践和互动等。
通过讲授,学生将了解智力抢答器的构成、原理和操作关键点;通过实验,学生将深入掌握Arduino编程语言和电路设计的实际应用;通过实践,学生将完成智力抢答器的搭建和测试;通过互动,学生将加强思维创新和团队合作能力的培养。
五、评价方法本课程采用多种评价方法,包括考试、作业、报告、演示等。
通过考试,检验学生掌握的理论知识;通过作业,检验学生的编程能力和电路设计能力;通过报告,检验学生对智力抢答器理解和应用的深入程度;通过演示,检验学生对智力抢答器的实际操控能力。
六、教学安排课程时长:16学时授课内容:第一学时:智力抢答器的概念、构成和原理。
第二学时:Arduino编程语言基础知识。
第三学时:编写四人智力抢答器的程序(一):抢答。
第四学时:编写四人智力抢答器的程序(二):计时。
第五学时:编写四人智力抢答器的程序(三):显示。
第六学时:制作智力抢答器电路板(一):硬件设计。
四人智力抢答器课程设计
四人智力抢答器课程设计一、课程目标知识目标:1. 让学生掌握四人智力抢答器的基本原理和电路组成;2. 使学生了解抢答器在竞赛中的应用,掌握相关电路图的识别和绘制;3. 帮助学生理解数字电路和逻辑门的基本概念及其在抢答器中的应用。
技能目标:1. 培养学生动手操作能力,能独立完成四人智力抢答器的组装和调试;2. 培养学生运用所学知识解决实际问题的能力,具备简单的故障排查和维修技能;3. 提高学生的团队协作能力和沟通能力,能在小组合作中发挥各自优势,共同完成任务。
情感态度价值观目标:1. 激发学生对电子技术的兴趣,培养其探索精神和创新意识;2. 培养学生积极参与竞赛,树立竞争意识,学会尊重对手,诚实守信;3. 引导学生认识到科技对社会发展的作用,增强其社会责任感和使命感。
课程性质:本课程为电子技术实践课程,注重理论知识与实际操作的相结合。
学生特点:四年级学生具备一定的电子技术基础,好奇心强,喜欢动手操作。
教学要求:教师需引导学生将理论知识应用于实践,注重培养学生的动手能力和团队协作精神,提高其解决实际问题的能力。
教学过程中,关注学生的个体差异,给予个性化指导,确保每个学生都能达到课程目标。
通过课程学习,使学生具备抢答器的组装、调试和维修技能,为后续电子技术学习打下坚实基础。
二、教学内容1. 教学大纲:a. 抢答器基本原理及电路组成b. 数字电路与逻辑门基础c. 抢答器电路图的识别与绘制d. 抢答器的组装与调试e. 故障排查与维修2. 教学内容安排与进度:a. 抢答器基本原理及电路组成(1课时)- 介绍抢答器的工作原理- 讲解电路组成,包括按键、编码器、译码器、指示灯等b. 数字电路与逻辑门基础(2课时)- 介绍数字电路基本概念- 讲解逻辑门的功能和应用c. 抢答器电路图的识别与绘制(2课时)- 讲解电路图的识别方法- 指导学生绘制简单的抢答器电路图d. 抢答器的组装与调试(3课时)- 指导学生进行抢答器组装- 教授调试方法,确保抢答器正常工作e. 故障排查与维修(2课时)- 分析常见的故障现象- 教授故障排查和维修方法3. 教材章节及内容:a. 第四章:数字电路基础b. 第五章:逻辑门电路c. 第六章:简易抢答器的设计与制作三、教学方法为了提高教学效果,充分调动学生的学习积极性,本课程将采用以下多样化的教学方法:1. 讲授法:教师以简洁明了的语言,系统地讲解抢答器的基本原理、电路组成和数字电路基础知识。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程设计课程名称_数字电子技术课程设计题目名称四人智力竞赛抢答器学生学院专业班级学号学生__ _________指导教师四人智力竞赛抢答器课程设计报告一、设计题目题目:四人智力竞赛抢答器二、设计任务和要求1)设计任务设计一台可供4名选手参加比赛的智力竞赛抢答器。
用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。
选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。
2)设计要求(1)4名选手编号为:1,2,3,4。
各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。
(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。
(3)抢答器具有数据锁存和显示的功能。
抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。
抢答选手的编号一直保持到主持人将系统清零为止。
(4)抢答器具有定时(9秒)抢答的功能。
当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。
参赛选手在设定时间(9秒)抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。
(5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。
系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。
(6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。
三、原理电路和程序设计1.数字抢答器总体方框图如下图所示为总体方框图。
电路主要由脉冲产生电路、锁存电路、编码及显示电路、倒计时电路和音响产生电路组成。
当有选手抢答时,优先判断,编号锁存,阻止其他选手抢答,然后编码,再将数字显示在显示器上同时产生音响。
接通电源后,主持人将开关拨到"清除"状态,抢答器处于禁止状态,而当主持人将开关置“开始”状态,即当主持人宣布开始抢答时,倒计时电路启动由9计到0,选手在定时时间抢答,如有选手抢答,倒计时停止。
当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示零。
如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。
主持人2.方案选择方案一:电路大致可以由四个功能模块组成:以锁存器为中心的编码显示电路部分,脉冲产生电路部分,倒计时显示电路部分,音响电路部分。
在锁存器为中心的编码显示电路部分中,由锁存器74LS373,编码器74LS148,显示器和门电路组成。
使用74LS373作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74LS373立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74LS148作为编码器,对输入的型号进行编码,输出4位的BCD码,再将这四位的BCD码输入数码管里显示出抢答者的编号;在脉冲产生电路部分中,用555定时器予以实现,通过调节电阻的阻值最后得到符合要求的脉冲,因为可以通过改变电阻电容微调频率,取代了用分频器对高频信号进行分频,从而使电路简单了;在倒计时显示电路部分中,由计数器74LS190,显示器组成。
利用74LS190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74LS190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,,并通过74LS148编码器将即时时间进行编码,并送到数码管,显示此时的时间。
假如在9秒有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。
在音响电路部分中,由555定时器和电阻电容接合成多谐振荡器,产生所需要的脉冲,然后接入蜂鸣器构成。
方案二:电路大致可以由四个功能模块组成:以锁存器为中心的编码显示电路部分,脉冲产生电路部分,倒计时显示电路部分,音响电路部分。
在锁存器为中心的编码显示电路部分中,由锁存器74LS373,编码器74LS148,显示器和门电路组成。
使用74LS373作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74LS373立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74LS148作为编码器,对输入的型号进行编码,输出4位的BCD码,再将这四位的BCD码输入数码管里显示出抢答者的编号;在脉冲产生电路部分中,用石英晶体振荡器予以实现,由于石英晶体的稳定性和精确性比较高,所以用其产生的脉冲信号更加稳定,同时在显示更能接近预定的值,受外界环境的干扰较少;在倒计时显示电路部分中,由计数器74LS190,显示器组成。
利用74LS190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74LS190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,,并通过74LS148编码器将即时时间进行编码,并送到数码管,显示此时的时间。
假如在9秒有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。
在音响电路部分中,由555定时器和电阻电容接合成多谐振荡器,产生所需要的脉冲,然后接入蜂鸣器构成。
选择结果:方案一。
原因:虽然用555定时器构成的多谐振荡器的稳定性和精确性没有石英晶体振荡器高,但由于前者设计方便,操作简单,成为了设计时的首选。
3.单元电路设计1)以锁存器为中心的编码显示电路该单元电路可以分辨出选手按键的先后,并锁存优先抢答者的编号,同时显示器显示相应的编号,并且可以禁止其他选手抢答。
电路原理:首先使锁存器74LS373的三态允许控制端OC为低电平, 即使其处于正常逻辑状态;当锁存允许端ENG为高电平时,Q随数据D而变,当ENG为低电平时Q被锁存在已建立的数据电平。
当单刀双置开关置于“开始”端时,抢答器处于等待工作状态,当有选手将键按下时(假如四号手),即把单刀双置开关置于“清除”端时,触发器74LS373的数据输入端4D输入低电平,相应地,触发器的数据输出端4Q置0。
锁存器锁存四号选手的输入的信号,并且阻止其他选手信号的输入。
同时使8线-3线优先编码器74LS148的EI处于低电平,使之处于工作状态。
低电平信号经由编码器74LS148编码成相对应的二制数,然后在显示器显示“4”,该抢答选手的编号一直保持到主持人将系统清零为止。
当74LS148的输入端EI =1时,使74LS148处于禁止状态,确保不会出现二次按键时输入信号,保证了抢答者的优先性。
如有再次抢答需由主持人将开关重新置“清除”端后方可进行下一轮抢答。
锁存器74LS373的真值表如下:L--低电平;H--高电平;X--不定态;Q0--建立稳态前Q的电平;OE--使能端,接地。
当G=“1”时,74LS373输出端1Q-8Q与输入端1D-8D相同;当G为下降沿时,将输入数据锁存。
8线-3线优先编码器74LS148的真值表如下:EI D0 D1 D2 D3 D4 D5 D6 D7 A2 A1 A0 GS EO 1 ×××××××× 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 0 0 ×××××××0 0 0 0 0 1 0 ××××××0 1 0 0 1 1 0 0 ×××××0 1 1 0 1 0 1 0 0 ××××0 1 1 1 0 1 1 1 0 0 ×××0 1 1 1 1 1 0 0 1 0 0 ××0 1 1 1 1 1 1 0 1 1 0 0 ×0 1 1 1 1 1 1 1 1 0 1 0 0 0 1 1 1 1 1 1 1 1 1 1 1 0D0-D7是输入信号,A2,A1,A0为三位二进制编码输出信号,EI是使能输入端,EO是使能输出端,GS为优先编码输出端。
当输入EI=1时,禁止编码,输出(反码);A2,A1,A0全为1;当输入EI=0时,允许编码,在D0-D7输入中,D7优先级最高,其余依此为D6,D5,D4,D3,D2,D1,D0。
以锁存器为中心的编码显示电路如下:2)脉冲产生电路:该单元电路由555定时器和电阻电容接合成多谐振荡器,产生所需要的脉冲。
根据555多谐振荡器的频率计算公式:2ln )2(121C R R f +=可以求得1hz 的电路电阻均取470k ,电容取10uf 。
脉冲产生电路如下:3)倒计时显示电路:该电路采用十进制同步减计数器74LS190,主持人宣布开始时,按下按钮,同时使计数器置数为“9”,并在脉冲作用下开始倒计时并在显示器上显示,到零时停止。
输入输出 LOAD ’ CTEN ’ U /D ’CLK ’A B C D QA QB QC QD 0 × × × ab c d ab c d1 0 0 ↑ × × × × 加计数 1 0 1 ↑ × × × × 减计数 1 1 × ×××××保持CLK 时钟输入端(上升沿有效) CTEN 计数控制端(低电平有效) A ~D 并行数据输入端 QA ~QD 输出端LOAD 异步并行置入控制端(低电平有效 ) U /D 加/减计数方式控制端 倒计时显示电路如下:4)音响电路该单元电路由555定时器和电阻电容接合成多谐振荡器,产生所需要的脉冲,然后接入蜂鸣器构成。
音响电路如下:5)整个电路:由以上几个单元电路就构成了所需功能的完整电路图如下:4.555多谐振荡器的脉冲波形图:5.电路元件选择:名称型号数量锁存器74LS373 1个编码器74LS148 1个计数器74LS190 1个显示器2个555定时器LM555CM 2个2与门74LS08 3个4与门74LS211个2或门74LS32 2个非门74F04 5个电阻4个电容4个单刀双置开关5个蜂鸣器1个四.工作原理抢答电路:当锁存器74LS373有信号输入时,通过与门74LS21将信号反馈回锁存器74LS373的使能端ENG,此时74LS3737立即被锁存,同时蜂鸣器鸣叫1s,停止工作,这时抢答无效。
同时将低电平信号反馈回74LS190的倒计时输入端,使其停止工作,保持剩余抢答时间。