数字电子技术课程设计报告
《数字电子技术》课程设计报告
《数字电子技术》课程设计总结报告题目:1、红绿灯控制器2、汽车尾灯控制器设计日期:2011年 5 月21 日目录一.设计任务书二.设计框图及整机概述三.各单元电路的设计方案及原理说明四.调试过程及结果分析五.附录(包括:整机逻辑电路图和元器件清单)六.设计、安装及调试中的体会七、对本次课程设计的意见及建议红绿灯控制器一、设计任务书1、题目:红绿灯控制器2、设计要求设计一个红绿灯控制器设计应具有以下功能基本设计要求:设计一个红绿灯控制器控制器设计应具有以下功能(1)东西方向绿灯亮,南北方向红灯亮。
.(2)东西方向黄灯亮,南北方向红灯亮。
(3)东西方向红灯亮,南北方向绿灯亮。
(4 )东西方向红灯亮,南北方向黄灯亮。
要求有时间显示(顺数、逆数皆可),时间自定。
(大于15秒以上),可忝加其他功厶匕能3、给定条件只能采用实验室提供的中小规模电路进行设计。
(不一定是实验用过的)• OO十字路口交通示意图二、设计框图及整机概述该电路主要由以下五部分组成:1、状态控制器2、状态译码器3、减法计数器4、秒脉冲发生器55、预置数电路信号灯显示电路整机概述:该电路旨在模拟交通灯基本工作原理。
在预置数电路信号灯显示电路中设定东西方向绿灯(38秒)、黄灯(10秒)、红灯(28 秒),电路按照设计要求的状态工作。
设计结构框图:脉冲发生器-------------- ►减法计数器状态译码器____________ 信号灯显示三.各单元电路的设计方案及原理说明1状态控制器(1)交通灯工作流程如图2所示主道绿灯亮,支道红灯亮主道黄灯亮,支道红灯亮主道红灯亮,支道绿灯亮(2)状态控制器信号灯四种不同的状态分别用S o (主道绿灯亮,支道红灯亮)、S (主道黄灯亮,支道红灯亮)、2 (主道红灯亮,支道绿灯亮)、Q (主道红灯亮,支道黄灯亮)表示,其状态编码及状态S所以状态控制器电路如图所示:2、状态译码器设计主、支道上红、绿、黄(用蓝灯表示)信号灯的状态主要取决状态控制器的之间的关系见真值表如表2所示。
数字电子技术课程设计报告(样例)
大庆师范学院数字电子技术课程设计报告设计课题: 基于VHDL自动售货姓名: 杨浩北学院: 物电学院专业: 电子信息工程班级: 08级(2)班学号: 200801071425 日期 2011年5月24日—2011年6月4日指导教师:目录1.设计的任务与要求 (2)1.1设计指标 (2)1.2设计要求 (2)2.系统方案论证 (2)2.1程序设计 (3)2.2模拟仿真波形 (4)2.3模拟仿真波形分析 (5)3实验总结 (5)4参考文献 (5)自动售货机设计1. 设计的任务与要求本设计要求使用VHDL设计制作一个自动售货机控制系统,该系统能完成货物信息储存,进程控制,硬币处理,自动找零等功能,判断钱币是否够用,当投入一元五角时输出货物,当投入两元时输出货物并找五角钱1.1设计指标1. 有两种硬币:1元或5角,投入1元5角硬币输出货物。
2投入2元硬币输出货物并找5角零钱。
1.2 设计要求1. 画出电路原理图(或仿真电路图);2. 元器件及参数选择;3. 编写设计报告写出设计的全过程,附上有关资料和图纸,有心得体会。
2. 方案论证Moore型状态机设计,完成自动售货机VHDL设计。
要求:有两种硬币:1元和5角,投入1元5角硬币输出货物,投入2元硬币输出货物并找5角零钱。
状态定义:S0表示初态,S1表示投入5角硬币,S2表示投入1元硬币,S3表示投入1元5角硬币,S4表示投入2元硬币。
输入信号:state_input (0)表示投入1元硬币,state_input (1)表示投入5角硬币。
输入信号为1表示投入硬币,输入信号为0表示未投入硬币。
输出信号:comb_outputs (0)表示输出货物,comb_outputs (1)表示找5角零钱。
输出信号为1表示输出货物或找钱,输入信号为0表示不输出货物或不找钱。
根据设计要求分析,得到状态转换图如图所示。
状态S0、S1、S2、S3和S4;输入state_inputs(0,1);输出comb_outputs(0,1);输出仅与状态有关,因此将输出写在状态圈内。
数字电子技术课程设计报告模板
.《数字电子技术》课程设计题目:基于FPGA的汽车尾灯控制器设计院系:工学院专业班级:电子信息工程12秋1班姓名:学号:小组成员:指导教师:赵兰、周丽婕、徐振完成日期2015年1月目录1 引言 (1)2 Quartus II软件、FPGA硬件介绍 (2)2.1 Quartus II软件介绍 (2)2.2 FPGA硬件介绍 (2)3 汽车尾灯控制器的总体方案 (3)3.1汽车尾灯控制器的需求分析 (3)3.1.1基本要求 (3)3.1.2 特色功能 (3)3.2汽车尾灯控制器的总体工作原理 (3)4 汽车尾灯控制器的各模块仿真 (5)4.1 基本模块 (5)4.1.1 主控模块 (5)4.1.2 左边灯控制模块 (5)4.1.3 右边灯控制模块 (6)4.2 特色功能模块 (6)4.2.1 时钟变频模块 (6)4.2.2 定时器模块 (7)5 汽车尾灯控制器的整体电路仿真 (9)5.1 汽车尾灯控制器的整体框图 (9)5.2 汽车尾灯控制器的仿真波形 (9)6 程序下载调试 (10)6.1 汽车不同状态时的下载调试图 (10)6.1.1正常行驶状态 (10)6.1.2 汽车左、右转向时 (10)6.1.3 刹车状态 (10)6.1.4 故障状态 (10)7 心得体会 (12)参考文献 (13)附录 (14)1 引言汽车作为现代交通工具已大量进入人们的生活,随着电子技术的发展,对于汽车的控制电路,已经从过去的全人工开关控制发展到了智能控制。
……2 Quartus II软件、FPGA硬件介绍2.1 Quartus II软件介绍Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程[1]。
(电子钟)数字电子技术课程设计实验报告
(电子钟)数字电子技术课程设计实验报告摘要
本次实验是一次关于在嵌入式系统中采用数字电子技术用以构建一个电子钟的实践。
在课程设计中,我们对嵌入式实验综合系统(EDS)的基本操作熟悉并最终实现从输入到输出的综合电路设计。
同时,我们把基本的概念和知识应用于所设计的数字电路中,动手实践实现相应的功能,同时也观察了不同参数时所表现出的不同情况,并最终通过实验论证了陈述的情况是否与书面或电子文字形式上描述的内容一致。
关键词:数字电子技术,嵌入式实验综合系统,电子钟
2.实验原理
根据我们的实验要求,我们以芯片MSOE 的实验系统为基础,利用其芯片中的数字电子技术设计了一个电子钟。
我们的实际设计的电路中,主要有电源模块、频率信号输入模块、时钟控制模块、时、分、秒显示模块以及LED灯光模块等主要模块。
我们会首先对频率信号输入信号进行检测,确定时钟的起点和运行方式,然后发送给时钟控制模块,由其来决定时、分、秒的变化,最后将其计算出来的值传递给实际显示模块和LED 指示灯模块,实现所需的功能。
3.实验结果
通过数字电子技术的运用,我们最终实现了一个电子钟的设计,由此我们能得出一个完美的结果,即可以完美地显示出当前的时间,同时即使不同的输入频率时也能准确有效地跟踪记录所需的时间,从而得到一个完美的结果。
4.实验总结
通过本次实验,我们掌握了数字电子技术在嵌入式系统中具体的设计过程,从输入到输出的综合电路设计,明确了各个模块之间的连接关系,使得我们在比较复杂的设计里有强大的能力。
并且通过实践,探究了不同参数情况下的操作以及结果,扩大了我们对数字电子技术的了解,拓宽了设计方面的思路。
数字电子技术课程设计报告说明
数字电子技术课程设计报告说明1.八路数显竞赛抢答器电路概述八路数字抢答器结构框图如图所示,首先主持人将开关拨到“复位”状态,抢答器处于禁止工作状态,编号显示器灭灯,显示器显示设定时间;主持人宣布“开始抢答”,并将开关置于“开始”位置,抢答器工作,定时器倒计时。
当定时时间到,没有选手抢答时,系统封锁输入电路,禁止选手超时抢答。
选手在定时时间内抢答时,抢答器完成以下动作:优先判断抢答编号、编号锁存、编号显示、扬声器提示。
当一轮抢答之后,禁止二次抢答、显示器显示剩余时间。
如果再次抢答必须由主持人再次操作“复位”和“开始”状态开关。
2.总体电路设计抢答电路该电路完成两个功能:分辨选手按键先后,并锁存优先者编号,同时译码显示电路显示编号;禁止其他选手继续抢答。
报警电路在有效的时间内抢答,显示抢答编号的同时,蜂鸣器发出声响,主持人按复位后关闭。
倒计时电路由主持人根据抢答题的难易程度设定抢答时间。
3.各单元电路设计抢答电路首先介绍下3_4译码器子电路的设计,将两片74LS279的输出端加一是输出四位信号。
可以通过简单的逻辑变换进行电路设计,设计思路是将000、001、010、011、100、101、110、111三位输出八个状态转化为四位输出八个状态0001、0010、0011、0100、0101、0110、0111、1000,结合字发生器和逻辑变换器设计具体的电路如图:该电路采用该电路选用优先编码器74L148,SR锁存器74LS279,译码741S48完成上述功能,在图中将CTR与连接当开关S闭合时,RS触发器的端均为0,4个触发器输出置0,使74LS48的,显示器灯灭;741S148的使能端,使之处于工作状态,此时锁存电路不工作。
当开关S断开时,优先编码与锁存电路同时处于工作状态,即抢答器处于等待状态,当选手将键按下时(如按下S),74S148的输出,,经RS锁存后,CTR=1, ,74LS279输出011,经74LS48译码显示为“3”。
数字电子技术课程设计报告
数字电子技术课程设计报告一、设计目的和任务:本设计项目旨在设计一个数字钟,能够显示当前时间,并具备时间设置功能。
主要任务包括:设计数字时钟的电路原理图、PCB布局,选取合适的数码管和时钟芯片,完成数字时钟的硬件组装和软件编程。
二、设计原理和方案:1.数码管原理:数码管是一种显示设备,由8段共阳极(或共阴极)、7段共阴极(或共阳极)的LED组成。
每个LED可以独立控制亮灭,通过对应的引脚控制可以达到显示不同数字的效果。
2.时钟芯片原理:时钟芯片是一种集成电路,能够提供精确的时间信号。
通过和微处理器或微控制器的连接,可以实现对时间的读取和设置功能。
本设计方案采用四位共阴极的数码管显示当前时间,以及四个按键实现时间设置功能。
时钟芯片选用DS1302,它具备低功耗、抗干扰和精准计时等特点,通过SPI接口连接到单片机。
三、硬件设计:1.数码管显示电路:将四位共阴极数码管的8个段接口分别连接到单片机的GPIO口,通过控制GPIO口的电平变化,实现数码管显示0-9的数字。
2.时钟芯片连接电路:将DS1302的SCK、RST和DAT引脚分别接到单片机的SPI接口的对应引脚,以实现单片机和时钟芯片之间的信息交换。
3.按键电路:设计四个按键实现时间设置功能,通过连接到单片机的GPIO口,通过检测按键的状态变化来触发相应的时间设置操作。
四、软件设计:1.时钟初始化:在程序启动时,先进行时钟芯片的初始化,设置年月日时分秒的初始值。
2.读取时间:通过SPI接口读取时钟芯片的时间信息,包括年月日时分秒。
3.显示时间:将读取到的时间信息转换成相应的数字,通过控制数码管的GPIO口实现数字的显示。
4.时间设置:通过检测按键的状态变化,触发相应的时间设置操作,将设置的年月日时分秒信息写入到时钟芯片中。
五、结果和分析:经过硬件组装和软件编程,实现了数字时钟的设计。
通过按键可以设置时钟的年月日时分秒信息,数码管能够准确地显示当前时间。
数字电子技术课程设计报告
《数字电子技术》课程设计病房呼叫系统设计电子信息工程系2009级2011年7月8日病房呼叫系统设计一、系统设计任务及要求利用所学数字电子技术的理论知识设计实现病房呼叫系统功能。
内容及要求:1)设置开关K1-K7为病房呼叫开关。
2)用LED指示灯显示病房的呼叫。
3)当多个病房同时呼叫时,护士值班室中显示优先级别最高的病房号且蜂鸣器SP使计算机上的扬声器发声。
二、系统设计目的本次选择的题目是医院病人紧急呼叫系统,当病人呼叫时都可以产生声音或者光等信号提示并且显示病人的编号,根据病人的病情来设置显示的优先级来确保病情最重的先得到医治。
这个系统十分实用并且高效,因此,这个题目接近现实,很有意义,是值得花费时间来反复推敲琢磨的。
三、系统设计实现1.设计方案用74148来进行优先级编码,用7447来显示最高优先级的病床号,计数器74193来实现模五的计数器,用分频方式得到1Hz的脉冲,用来控制等的灯闪烁,再用D触发器来实现复位清零功能。
2.3.优先编码和数码管清零模块该病床呼叫系统一共有8个输入,分别是1~7号病床的呼叫开关k1—k7和1个复位清零健Action(初始工作时至“1”),这8个开关配合D触发器来实现清零复位。
由于74148低电平有效,所以输入74148前先用非门取反,此电路用到的74148管脚输入对应输出真值表如下4.模5计数器以及灯闪烁五秒的模拟如图先将74193接成模五计数器,当有病床呼叫时CLR为0,计数器计数,当没有病床呼叫时计数器清零,计数器又分频脉冲控制计数速度。
5.显示病床号功能该模块的输入是74148的输出,该部分实现了显示呼叫的病床号。
通过7447七段字形译码器进译码,将结果输出到半导体数码管进行显示。
6.总设计图四心得体会此次课程设计是对本学期数字逻辑知识掌握程度的检验,学会用数字逻辑的基本知识去解决一些实际的问题,能很大程度的提高我们的动手能力。
同时也提高了团队的团结协作能力。
数字电子技术课程设计报告
数字电子课程设计报告册抢答器与数字时钟班级:小组成员:2010/01/13一、设计目的1.掌握各类计数器及将它们相连的方法;2.掌握多个数码管动态显示的原理与方法;3.掌握用FPGA技术的层次化设计方法;4.进一步掌握用VHDL硬件描述语言的设计思想;5.了解有关数字系统的设计。
6.提高电路排版以及焊接能力二、设计要求1、三路抢答器1)三组参赛者在进行抢答时,当抢先者按下面前的按钮时,抢答器能准确判断出抢先者,并以光为标志。
2)抢答器应具有互锁功能,某组抢答后能自动封锁其他各组进行抢答。
3)系统应该有一个总复位开关。
2、24小时制的数字钟程序1)24小时计数显示,时、分、秒用六个数码管显示;2)具有校时功能(时,分);3)附加闹钟功能。
三、实验设备及其技术指标1、三路抢答器1)使用的器件主要有74LS00、发光二级管、74LS20、按键式开关、电阻。
2)三组参赛者在进行抢答时,当抢先者按下面前的按钮时,抢答器能准确判断出抢先者,并以光为标志。
抢答器应具有互锁功能,某组抢答后能自动封锁其他各组进行抢答。
13)系统应该有一个总复位开关2、24小时制的数字钟程序开发环境MAX—PLUSII,ZY11EDA13BE 试验系统,VHDL 语言.四、实验原理以及原理图1、三路抢答器2、24小时制的数字钟1)设计原理数字钟的主体是计数器,它记录并显示接收到的秒脉冲个数,其中秒和分为模60计数器,小时是模24计数器,分别产生3位BCD码。
BCD码经译码,驱动后接数码管显示电路。
2秒模60计数器的进位作为分模60计数器的时钟,分模60计数器的进位作为模24计数器的时钟。
为了实现手动调整时间,在外部增加了setm(调整分),seth(调整时)按键,当这两个按键为低电平时,电路正常计时,当为高电平时,分别调整分,时。
2)设计原理图3)设计程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity szz isport (clk,clk1,md1:in std_logic;3md2:in std_logic_vector(1 downto 0);speak:out std_logic;dout: out std_logic_vector(6 downto 0);selout:out std_logic_vector(2 downto 0)); end szz;architecture one of szz issignal sel: std_logic_vector(2 downto 0);signal hou1: std_logic_vector(3 downto 0);signal hou2: std_logic_vector(3 downto 0);signal min1: std_logic_vector(3 downto 0);signal min2: std_logic_vector(3 downto 0);signal sec1: std_logic_vector(3 downto 0);signal sec2: std_logic_vector(3 downto 0);signal seth1: std_logic_vector(3 downto 0);signal seth2: std_logic_vector(3 downto 0);signal setm1: std_logic_vector(3 downto 0);signal setm2: std_logic_vector(3 downto 0);signal data:std_logic_vector(23 downto 0);beginchoice:process(clk1)4beginif clk1'event and clk1='1' thenif sel ="101" then sel<="000";else sel<=sel+1;end if ;end if;end process choice;-----------------------------------------------小时十位h110:process(clk,hou2,min1,min2,sec1,sec2,md1,md2)beginif clk'event and clk='1' thenif (hou1="0010" and hou2="0011")and(min1="0101" and min2="1001") and (sec1="0101" and sec2="1001") thenhou1<="0000";elsif hou1="0010"and hou2="0011"and md1='0' and md2="01" then--当时间为23点且处于校时状态时hou1<="0000";elsif (hou2="1001"and(min1="0101" and min2="1001")and (sec1="0101" and sec2="1001"))or (hou2="1001"and md1='0' and md2="01")then5hou1<=hou1+1;end if;end if;end process h110;-----------------------------------------------小时个位h220:process(clk,min1,min2,sec1,sec2,md1,md2,hou1)beginif clk'event and clk='1' thenif (hou1="0010" and hou2="0011")and(min1="0101" and min2="1001") and (sec1="0101" and sec2="1001") thenhou2<="0000";elsif hou2="1001"and(min1="0101" and min2="1001")and (sec1="0101" and sec2="1001") thenhou2<="0000";elsif (hou2="1001"and md1='0' and md2="01")or (hou1="0010"and hou2="0011") thenhou2<="0000";--md<='1';elsif ((min1="0101" and min2="1001") and (sec1="0101" and sec2="1001")) or (md1='0' and md2="01") thenhou2<=hou2+1;--speak<=clk;6end if;end if;end process h220;-----------------------------------------------分钟十位m110:process(clk,min2,sec1,sec2,md1,md2)beginif clk'event and clk='1' thenif (min1="0101" and min2="1001") and (sec1="0101" and sec2="1001") then min1<="0000";elsif min1="0101"and min2="1001"and (md1='0' and md2="00")thenmin1<="0000";elsif (min2="1001"and (sec1="0101" and sec2="1001"))or (min2="1001"and md1='0' and md2="00")thenmin1<=min1+1;end if;end if;--end if;end process m110;----------------------------------------------分钟个位m220:process(clk,sec1,sec2,md1,md2)begin7if clk'event and clk='1' thenif min2="1001"and (sec1="0101" and sec2="1001")thenmin2<="0000";elsif min2="1001"and (md1='0' and md2="00")thenmin2<="0000";else if (sec1="0101" and sec2="1001") or(md1='0' and md2="00")then min2<=min2+1;end if;end if;end if;end process m220;---------------------------------------------秒十位s110:process(clk,sec2)beginif clk'event and clk='1' thenif (sec1="0101" and sec2="1001")thensec1<="0000";else if sec2="1001"thensec1<=sec1+1;end if;end if;end if;8end process s110;--------------------------------------------秒个位s220:process(clk)beginif clk'event and clk='1' thenif sec2="1001" thensec2<="0000";else sec2<=sec2+1;end if;end if;end process s220;---------------------------------时间设置小时sethour1:process(clk,seth1,seth2)beginif clk'event and clk='1' thenif seth1="0010"and seth2="0011" thenseth1<="0000";elsif seth2="1001" thenseth1<=seth1+1;end if;end if;9end process sethour1;------------------------------------------sethour2:process(clk,md1,md2,seth1)beginif clk'event and clk='1' thenif (seth1="0010"and seth2="0011")or seth2="1001"thenseth2<="0000";elsif md1='1' and md2="00" thenseth2<=seth2+1;end if;end if;end process sethour2;-------------------------------------------时间设置分钟部分setmin1:process(clk,setm2)beginif clk'event and clk='1' thenif setm1="0101"and setm2="1001"thensetm1<="0000";elsif setm2="1001"thensetm1<=setm1+1;10end if;end if;end process setmin1;----------------------------------------------setmin2:process(clk,md1,md2)beginif clk'event and clk='1'thenif setm2="1001"thensetm2<="0000";elsif md1='1' and md2="01"thensetm2<=setm2+1;end if;end if;end process setmin2;----------------------------------------------------------------------------------------闹铃speaker:process(clk,hou1,hou2,min1,min2)beginif clk'event and clk='1'thenif seth1=hou1 and seth2=hou2 and setm1=min1 and setm2=min2 then11speak<=clk;else speak<='0';end if;end if;end process speaker;-------------------------------------------disp:process(md1,hou1,hou2,min1,min2,sec1,sec2,seth1,seth2,setm1,se tm2,data,sel)beginif sel="101" thenselout <="101";case data(23 downto 20) iswhen "0000"=>dout<="1111110";when "0001"=>dout<="0110000";when "0010"=>dout<="1101101";when others=>dout<="1111110";end case;elsif sel ="100" thenselout<="100";case data(19 downto 16) iswhen "0000"=>dout<="1111110";12when "0010"=>dout<="1101101"; when "0011"=>dout<="1111001"; when "0100"=>dout<="0110011"; when "0101"=>dout<="1011011"; when "0110"=>dout<="1011111"; when "0111"=>dout<="1110000"; when "1000"=>dout<="1111111"; when "1001"=>dout<="1111011"; when others=>dout<="1111110"; end case;elsif sel="011" thenselout<="011";case data(15 downto 12) is when "0000"=>dout<="1111110"; when "0001"=>dout<="0110000"; when "0010"=>dout<="1101101"; when "0011"=>dout<="1111001"; when "0100"=>dout<="0110011"; when "0101"=>dout<="1011011";13end case;elsif sel ="010" thenselout<="010";case data(11 downto 8) iswhen "0000"=>dout<="1111110"; when "0001"=>dout<="0110000"; when "0010"=>dout<="1101101"; when "0011"=>dout<="1111001"; when "0100"=>dout<="0110011"; when "0101"=>dout<="1011011"; when "0110"=>dout<="1011111"; when "0111"=>dout<="1110000"; when "1000"=>dout<="1111111"; when "1001"=>dout<="1111011"; when others=>dout<="1111110"; end case;elsif sel ="001" thenselout<="001";case data(7 downto 4) is14when "0001"=>dout<="0110000"; when "0010"=>dout<="1101101"; when "0011"=>dout<="1111001"; when "0100"=>dout<="0110011"; when "0101"=>dout<="1011011"; when others=>dout<="1111110"; end case;elsif sel="000" thenselout <="000";case data(3 downto 0) iswhen "0000"=>dout<="1111110"; when "0001"=>dout<="0110000"; when "0010"=>dout<="1101101"; when "0011"=>dout<="1111001"; when "0100"=>dout<="0110011"; when "0101"=>dout<="1011011"; when "0110"=>dout<="1011111"; when "0111"=>dout<="1110000"; when "1000"=>dout<="1111111";15when others=>dout<="1111110";end case;else dout<="1111110";selout<="111";end if;if md1='0'then---------------计时时间显示和设置模data(23 downto 20)<=hou1;data(19 downto 16)<=hou2;data(15 downto 12)<=min1;data(11 downto 8)<=min2;data(7 downto 4)<=sec1;data(3 downto 0)<=sec2;else -----------闹铃时间现实和设置模式data(23 downto 20)<=seth1;data(19 downto 16)<=seth2;data(15 downto 12)<=setm1;data(11 downto 8)<=setm2;data(7 downto 4)<="1111";data(3 downto 0)<="1111";end if;end process disp;end one;五、心得体会经过了一段时间的努力我终于完成了三路抢答器的制作以及24小时制数字钟的设计,无论是从分析电路原理图,还是从方案的选择、再到设计与实现,每个过程中我们学习到了很多在课本上不能学习到的知16识,对一个产品也有了一个新的认识,以前大家都很普遍、都很简单的认为一个产品很容易就做出来了,现在我们都知道了每一个产品都需要。
数字电子技术课程设计报告
电子技术课程设计报告系(部):专业:班级:姓名:学号:成绩:指导老师:开课时间:学年学期一、设计题目数字式竞赛抢答器;数字钟;交通信号灯控制器;篮球比赛24秒倒计时器(按自己的课题写)二、主要内容1、分析设计题目的具体要求2、完成课题所要求的各个子功能的实现3、用multisim软件完成题目的整体设计三、具体要求(按自己的课题写)(一)、交通灯信号控制器仿真设计设计要求(1)设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45s。
时间可设置修改。
(2)在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。
(3)黄灯亮时,要求每秒闪亮一次。
(4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。
(5)假定+5V电源给定。
(二)、四路智力抢答器仿真设计设计要求(1)在给定5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢答器,每组设定一个抢答按钮供参赛者使用。
(2)设置一个系统清零和抢答控制开关K(该开关由主持人控制),当开关K被按下时,抢答开始(允许抢答),打开后抢答电路清零。
(3)抢答器具有一个抢答信号的鉴别、锁存及显示功能。
即有抢答信号输入(参赛者的开关中任意一个开关被按下)时,锁存相应的编号,并在LED数码管上显示出来,同时扬声器发生声响。
此时再按其他任何一个抢答器开关均无效,优先抢答选手的编号一直保持不变,直到主持人将系统清除为止。
(三)、篮球比赛24秒倒计时器的设计设计要求(1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。
(2)分别设置启动键和暂停/继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。
(3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。
(4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即直流振荡器发出声响和发光二极管发光。
数字电子技术的课程设计
数字电子技术的课程设计一、教学目标本节课的教学目标是让学生掌握数字电子技术的基本概念、原理和应用,提高学生的科学素养和实际操作能力,培养学生的创新意识和团队协作精神。
具体来说,知识目标包括了解数字电路的基本组成部分、掌握逻辑门电路的原理和应用、理解组合逻辑电路和时序逻辑电路的设计方法。
技能目标则要求学生能够使用电子设计软件进行简单的数字电路设计,并能通过实验验证电路的功能。
情感态度价值观目标则在于培养学生对电子技术的兴趣和好奇心,增强他们解决实际问题的信心和勇气。
二、教学内容本节课的教学内容主要包括数字电路的基本概念、逻辑门电路、组合逻辑电路和时序逻辑电路。
首先,我们会介绍数字电路的定义、特点和基本组成部分,让学生了解数字电路与模拟电路的区别。
接着,我们会讲解逻辑门电路的原理和应用,包括与门、或门、非门等基本逻辑门电路。
然后,我们会介绍组合逻辑电路的设计方法,让学生学会如何利用逻辑门电路实现组合逻辑功能。
最后,我们会讲解时序逻辑电路的原理和设计方法,包括触发器、计数器等常见时序逻辑电路。
三、教学方法为了达到本节课的教学目标,我们将采用多种教学方法,包括讲授法、讨论法、案例分析法和实验法。
在讲授法的基础上,我们会学生进行小组讨论,鼓励他们提出问题、分享观点,以提高学生的参与度和主动性。
同时,我们会通过案例分析法引导学生运用所学知识解决实际问题,提高他们的实践能力。
此外,实验法将在课堂上占据一定比例,让学生亲自动手操作,加深对数字电路的理解。
四、教学资源本节课的教学资源包括教材、参考书、多媒体资料和实验设备。
教材我们将使用《数字电子技术》一书,作为学生学习的基础资料。
参考书则包括《数字电路与逻辑设计》等,为学生提供更多的学习资源。
多媒体资料包括教学PPT、视频等,用于辅助课堂教学,提高学生的学习兴趣。
实验设备包括逻辑门电路实验板、数字电路实验箱等,让学生在实验中验证所学知识,提高实践能力。
五、教学评估本节课的教学评估将采用多元化评价方式,全面客观地评价学生的学习成果。
山东交通学院数字电子技术课设报告
数字电子技术课程设计报告题目红外计件器设计学院轨道交通学院专业班级学生姓名学号2023年5月29日至2023年6月2日共1周指导教师(签字)院长(主任)(签字)2023年6月2日成绩评定表目录1.总体方案分析与选择……………………………………………… 4、52.总体电路设计 (5)3.单元电路设计………………………………………………5、6、74.设计的不足与缺陷分析及误差分析 (7)5设计体会 (8)1.总体方案分析与选择流量计数器用来统计货物数量,提高生产效率。
本设计要求当“货物”从红外收发模块经过后,数码管显示数值加,最大计数到999;设置有计数的清零功能;当统计的货物数量达到预期的数据时(可预置),有声音提示功能。
本设计主要由数值预设器,数值比较器,数值计数器,红外收发模块模拟装置,发声装置,译码器,显示管组成。
总体设计框图如下:(1)数值预设器数值预设器用来规定货物到达一定数量时,发声装置进行提示(2)数值比较器货物数量与预设数量一致时,比较器将指令发送给发声装置(3)红外收发模块模拟装置(光电二极管)用开关和光电二极管模拟货物通过红外收发模块(4)发声装置(蜂鸣器)蜂鸣器实际上是一种兼顾声音和警示的作用,就是一种可以发出类似声音的装置,通过接收数值比较器的信号判断是否发声(5)数值计数器记录通过红外收发模块的货物数量(6)译码器将数值计数器二进制的信号转换成十进制,发送给显示管进行货物数量显示。
2.总体电路设计(1)红外检测电路检测货物是否有物体通过,当货物通过时,会遮住红外光管,S1键跳开,当物体通过后,红外光管不会被遮住,S1键就会跳回。
(2)数值计数模块通过物体的数量被74LS160计数器所记下。
(3)显示模块通过译码器74LS48解译使数字显示器接收处理数字信号。
(4)声音模块若收到的数字信号数量等于预置数量,则蜂鸣器会报警。
3.单元电路设计1.数值计数部分该模块主要运用3片74160N计数器实现,已知它是10进制芯片,通过并行的方式将其接成1000制的计数方式,具体方法就是将第一片的RCO端接在第二片的ENT或ENP端(接其中一个另一个就接高电平)然后将第二片与第一片的RCO端都接在第三片的ENT与ENP端,这样就能在第一片产生进位信号时第二片加一,只有第二片与第一片都产生进位信号时第三片才加一。
数字电子课程设计实习报告
数字电子课程设计实习报告一、课程目标知识目标:1. 学生能理解数字电子技术的基本原理,掌握常用数字电路的组成、功能及应用。
2. 学生能掌握数字电路的设计方法,运用所学知识完成简单的数字系统设计。
3. 学生能了解数字电路的测试与调试方法,对设计的电路进行验证和优化。
技能目标:1. 学生能运用所学知识,使用电路设计软件进行数字电路设计,提高实际操作能力。
2. 学生能通过课程设计实习,培养团队协作能力,提高沟通与表达能力。
3. 学生具备分析和解决数字电路实际问题的能力,能针对问题提出合理的解决方案。
情感态度价值观目标:1. 学生通过数字电子课程设计实习,培养对电子技术的兴趣和热情,提高学习的主动性和积极性。
2. 学生在学习过程中,树立正确的价值观,认识到科技对社会发展的作用,增强社会责任感。
3. 学生在团队协作中,学会尊重他人,培养合作精神,提高个人综合素质。
本课程针对高年级学生,结合学科特点,注重理论知识与实际操作相结合,旨在培养学生的创新能力和实践能力。
通过课程设计实习,使学生能够将所学知识应用于实际问题,提高解决实际问题的能力。
课程目标明确,分解为具体学习成果,便于教学设计和评估。
二、教学内容1. 数字逻辑基础:包括逻辑门电路、组合逻辑电路、时序逻辑电路的基本原理和功能。
2. 常用数字电路:分析触发器、计数器、寄存器等电路的组成、工作原理及应用。
3. 数字电路设计:学习数字电路设计方法,运用电路设计软件进行电路设计。
- 设计简单数字系统,如加法器、编码器、译码器等。
- 选用适当的逻辑器件,搭建完整的数字电路系统。
4. 数字电路测试与调试:介绍数字电路测试方法,学会使用测试仪器,对设计电路进行验证和优化。
5. 课程设计实践:结合教材内容,进行团队协作完成数字电路设计项目。
- 确定设计任务,分析需求,制定设计方案。
- 搭建电路,编写程序,进行仿真测试。
- 分析实验结果,撰写实习报告。
教学内容根据课程目标制定,涵盖数字电子技术的基本原理、常用电路、设计方法、测试调试及课程设计实践。
数字电子技术课程设计报告
一、设计任务及规定通过对《数字电子技术》课程旳学习, 让同学掌握《数字电子技术》课程旳基本理论以及措施, 加深学生对理论知识旳理解, 同步积极有效旳提高了学生旳动手能力, 独立思索和处理问题旳能力, 创新思维能力、协调能力, 以及团结合作、互帮互助旳优良老式。
为了充足体现这些精神和能力, 因此让同学独立自主旳制造一种数字时钟, 故, 对同学设计旳数字时钟进行如下规定:二、时钟显示功能, 可以以十进制显示“时”, “分”, “秒”。
三、设计旳作用、目旳(1). 在同学掌握《数字电子技术》课程旳基本理论以及措施旳基础上, 加深学生对理论知识旳理解, 同步积极有效旳提高了学生旳动手能力, 独立思索和处理问题旳能力, 创新思维能力、协调能力, 以及团结合作、互帮互助旳优良老式。
(2). 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统旳设计、安装、测试措施;深入巩固所学旳理论知识, 提高运用所学知识分析和处理实际问题旳能力。
四、(3).熟悉集成电路旳引脚安排,掌握各芯片旳逻辑功能及使用措施理解面包板构造及其接线措施,理解数字钟旳构成及工作原理,熟悉数字钟旳设计与制作。
五、(4).掌握数字钟旳设计、调试措施。
六、设计过程1.方案设计与论证数字钟旳逻辑构造重要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定期器(可以提供一种比较精确旳1Hz旳时钟脉冲), 时间设置环节可以提供时间旳初始设置, 动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要旳驱动信号, 使LED数码管用十进制数字显示出BCD代码所示旳数值。
数字钟电路系统旳构成框图:(1). 555定期器旳设计555定期器是一种多用途旳数字—模拟混合集成电路, 运用它能极以便地构成施密斯触发器、单稳态触发器和多谐振荡器。
由于使用灵活、以便, 因此555定期器在波形旳产生与变换、测量与控制、家用电器、电子玩具等许多领域中都得到了应用。
数字电子技术课程设计报告
数字电子技术课程设计报告专业班级: 电气工程及其自动化时间: 2013年7月1日至7月5日一、设计题目:简易电子琴设计二、题目要求:(1)单独从左至右按下S17每个按键后能够各自对应发出“哆来咪发唆啦西”的音乐声;(2)按下最右边按键(S8), 同时再配合按下S17键后, 发高八度的对应音;(3)按键需要进行“消抖”处理;(4)外部输入脉冲信号频率为1;(5)扩展要求: 自主设计(增加低8度功能)。
三、设计原理:(1)喇叭的振动频率不同, 导致产生不同的声音;振动频率越低, 声音越低沉, 振动频率越高, 声音越尖锐。
题目中音乐基本音的“哆”对应频率为523 、“来”对应频率为587 、“咪”对应频率为659 、“发”对应频率为698 、“唆”对应频率为784 、“啦”对应频率为880 、“西”对应频率为998。
低8度音:基本音频率/2, 例如低音1的频率为523/2=261.5。
高8度音:基本音频率×2, 例如高音1的频率为523×2=1046。
不同的频率产生利用给定的时钟脉冲来进行分频实现。
消抖的原理: 按键默认输入逻辑‘1’, 当有按键按下时对应的输入为逻辑‘0’(但会存在抖动), 当开始检测到该引脚从‘1’变为‘0’后开始定时(按键抖动时间大约10), 定时时间结束后若该引脚仍然为‘0’则表示确实发生按键按下, 否则视为抖动而不予以理会;按键松开过程的消抖处理和按下时原理一样。
(3)原理框图该原理图所描述的是当一个按键时先经过按键消抖模块将抖动消除, 在经过按键识别模块识别是哪个键被按下了, 在经过可控分频器将1的脉冲信号分成所需要的频率, 在经过二分频和音频驱动器将信号传到扬声器中发出声音。
四: 实验设计步骤及仿真结果1.按键消抖(1)原理:该类按键抖动时间大约10按键消抖状态机在3.4.5时1表示按键按下且稳定, 其他状态时0表示按键未按下或有抖动。
每个键是低电平有效, 键按下代表低电平, 原先没按下时是高电平0, 即1, 当检测到有低电平时, 程序将进行10的延时1, 延时结束后检测电平的高低2, 当为低电平时说明按键真的已被按下3, 若为高电平时说明按键没被按下只是有抖动, 状态又回到0;当稳定在3状态及低电平时, 又检测到有高电平4, 程序将进行200的延时5, 延时结束后检测电平的高低, 若为高电平, 说明按键已被松开又回到原状态0,若为低电平时说明按键还没被松开仍未低电平3。
数字电子技术课程设计报告杜
数字电子技术课程设计报告杜数字电子技术课程设计报告本次数字电子技术课程设计,团队选题为“数字高压发生器的设计与实现”,本文主要介绍该课程设计的背景、研究内容、设计方案、实验结果及存在问题等方面。
一、背景随着现代电子技术的高速发展,数字电路逐渐取代了模拟电路在各个领域的应用。
而数字电子技术的应用正不断地向高速、高精度、高可靠的方向发展。
在这样的背景下,数字高压发生器作为一种重要的数字电路应用,被广泛应用于高压电气设备的测试与试验、粒子加速器、核医学以及金属材料脆性松弛等领域。
数字高压发生器通常采用数字脉冲宽度调制技术,将脉冲宽度按一定比例进行调节,输出高电平达到所需要的电压;为提高输出电压的精度与灵敏度,还需要考虑时钟噪声的影响、温度漂移、电源纹波和仿真模型等因素的影响。
因此,本次课程设计的目的就是设计一台能够进行高精度输出的数字高压发生器。
二、研究内容本次数字高压发生器的设计涉及到的主要内容包括:数字高压发生器的基本原理、数字脉冲宽度调制技术、数字滤波技术、时钟噪声的影响、温度漂移、电源纹波、电阻电容积分配合的仿真模型等方面。
在以上基础上,本课程的主要研究内容如下:1. 数字高压发生器的基本原理和原理图设计2. 数字脉冲宽度调制技术的原理及代码实现3. 仿真分析数字滤波电路的选择和设计4. 分析和仿真时钟噪声的影响和解决办法5. 温度漂移的原因分析和恒压实验的电路设计6. 电源纹波的影响分析和电源滤波电路设计7. 电阻电容积分的原理及仿真模型设计三、设计方案1. 设计原理图本次数字高压发生器的原理图如下:2. 脉冲调制电路设计本次课程采用数字脉冲宽度调制技术,将脉冲宽度按一定比例进行调节,输出高电平达到所需要的电压,其调制电路如下:3. 数字滤波电路的选择和设计数字滤波电路设计是关键的一部分,其目的是对信号进行滤波,以去除高频噪声和频率干扰。
本次课程设计选用巴特沃斯滤波器(Butterworth Filter),其衰减特别快,可以更好的实现数字高压发生器的高压输出。
数字电子技术课设
Hale Waihona Puke 页4秒向分的进位信号的实现。 计分电路的关键问题是找到秒向分的进位信号。当秒电路计到 59 秒时,产生一 个高电平,在计到 60 时变为低电平,来一个下降沿送给计分电路做时钟。 计秒电路在计到 59 时的十位和个位的状态分别为 0101 和 1001, 把这四个 1 与起 来即可,即十位的 Q2 和 Q0,个位的 Q3 和 Q0,与的结果作为进位信号。使用 74LS20 四入与非门串反相器构成与门。计分电路与计秒电路一样,只是四入与门 产生的信号应标识为 59 分。 (2) 计时电路 用两片 74LS90 实现二十四进制计数器,首先把两片 74LS90 都接成十进制,并且 两片之间连接成具有十的进位关系, 即接成一百进制计数器, 然后在计到 24 时, 十位和个位同时清零。计到 24 时,十位的 Q1=1,个位的 Q2=1,应分别把这两 个信号连接到双方芯片的 R0(1)和 R0(2)端。 如个位的 Q2 接到两个 74LS90 的 R0(1) 清零端,十位的 Q1 接到两个 74LS90 的 R0(2)清零端。计时电路的个位时钟信号 来自秒、分电路产生 59 分 59 秒两个信号相与的结果, (3) 报时锁存信号 用秒个位的计数器输出进行四高一低的报时锁存信号。 现在来分析一下 50~59 秒 之间秒个位的状态。通过这些状态的观察发现,秒个位的和 Q0 逻辑与后,正好 在秒个位计到 1、3、5、7 时产生高电平,0、2、4、6 时产生低电平,可作低四 声报时的锁存信号;秒个位的 Q3 和 Q0 逻辑与后,正好在秒个位为 9 时产生高 电平,可作高音的报时锁存信号,这样就产生了两个报时锁存信号。 模拟交通灯: 1.打开 ISIS 7 Professional 窗口,执行菜单命令“File”-“New Design”
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的 开始。
(3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手 编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入 编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。
(4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计 时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒, 同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答 时间,并保持到主持人将系统清零为止。
段译码器将数字显示在显示器上同时产生音响。主持人宣布开始抢答时,倒计时电路 启动由9计到0,如有选手抢答,倒计时停止。电路系统结构如图13:
课程设计
课程名称一数字电子技术课稈设计—
题目名称四人智力竞赛抢答器
学生学院
专业班级
学 号
学生姓名
指导教师
200年 月 日
一、设计题目3
二、设计任务和要求3
1.设计任务3
2.设计要求3
三、原理电路3
1.以锁存器为中心的编码显示电路4
2.脉冲产生电路5
3.倒计时显示电路5
4.音响电路6
5.整体电路7
四、电路调试过程及结果7
五、总结8
六、心得体会8
七、参考文献9
四人智力竞赛抢答器
设计题目
四人智力竞赛抢答器
设计任务和要求
1)设计任务
设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由
“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组 号,同时蜂鸣器响1秒,倒计时停止。
2)设计要求
(5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持 续1秒),并封锁输入编码电路,禁止选手超时者555定时器产生频率为1Hz的脉冲信号,作为定时计数器 的CP信号。
电路主要由脉冲产生电路、锁存电路、编码及译码显示电路、倒计时电路和音响产生 电路组成。当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经4线7