安工大数字逻辑实验报告

《数字逻辑》

实验报告

指导老师:陶陶

学号:139074083

姓名:李瑞贤

班级:计133班

日期:2015.5.28

实验一名称:3-8译码设计

一、实验任务

设计一个3-8译码器。

二、填写表格

A B C LED

0 LED

1

LED

2

LED

3

LED

4

LED

5

LED

6

LED

7

0 0 0 亮灭灭灭灭灭灭灭

1 0 0 灭灭灭灭亮灭灭灭

0 1 0 灭灭亮灭灭灭灭灭

1 1 0 灭灭灭灭灭灭亮灭

0 0 1 灭亮灭灭灭灭灭灭

1 0 1 灭灭灭灭灭亮灭灭

0 1 1 灭灭灭亮灭灭灭灭

1 1 1 灭灭灭灭灭灭灭亮

三、实验原理图:

三八译码器由三个输入端编码,输出有八个输出端。用与门以及非门通过“导线”连接而成。

四、实验步骤:

1)打开软件max+plus2,建立新目标文件开始画图。并保存原图,设

置项目指向。

2)选择芯片类型

本实验选择EPF10K10LC84-3芯片

3)编译配置

4)时序仿真:

由仿真结果可以看出,本实验仿真成功。

五、错误分析:

连线时,线条不能连接到器件内部,否则会出现编译错误。同时,添加激励脉冲时a,b,c分别为2倍的关系。加错激励信号结果也将不正确。

实验二名称:全加全减器设计

一、实验任务

设计并实现一个一位全加全减器。

二、实验原理图

a,b,c为三个输入端,分别输入0或者1,m为控制端当m=1是全减器,m=0时是全加器,输出端s表示结果,y代表进位或借位。

三、实验步骤:

1)打开软件max+plus2,建立新目标文件开始画图。并保存原图,设置项目指向。

2)选择芯片类型

本实验选择EPF10K10LC84-3芯片

3)编译配置

4)时序仿真:

由仿真结果可以看出,全加全减器仿真成功。

实验三7段显示译码器的优化实现1.设计电路及说明

电路如下:

设计:由7段译码器的特性可以做出真值表,由真值表可推出输

出项与输入项的逻辑函数表达式(表达式中输入项应尽量少,便于设计电路),由逻辑表达式可以设计电路实现,书中输出项 直接由输入项组合而成,中间过程可由4线-16出译码器代替。 输入项:A3、、B 、A ;

4线-16出输出项:Y0、Y2,,,,Y15。

其中0

1239012380123701236012350123401233012320123101230

A A A A Y A A A A Y A A A A Y A A A A Y A A A A Y A A A A Y A A A A Y A A A A Y A A A A Y A A A A Y ==========

Y10=

0223A A A A Y11=0223A A A A Y12= A A A A 0123

Y13=0123A A A A Y14=0123A A A A Y15=0223A A A A

可得: 译码器输出项

a =Y0+Y5+Y13 b=Y13+Y9 c=Y10+Y2 d=

Y1+Y5+Y13+Y7+Y15

e=A0+Y5+Y13

f=Y1+Y7+Y15+ 12A A g=Y0+Y1+Y7+Y15

2.软件仿真结果:

3.硬件仿真结论:

在硬件上连好模拟电路,由LED 灯是否亮检测结果第一次不符合实际,经检查后因为器件的编程下载对象选错,改正后结果符合真值表,得到全加器/全减器电路。

实验四 扫描显示电路的驱动

评阅人: 评阅日期: 年 月 日成绩 一、实验目的

1、 了解 8 位 7 段数码管显示模块的工作原理,采用 HDL (硬件描述语言)设计标准扫描驱动电路模块,为后续实验做准备。

2、初步掌握逻辑电路的层次式设计方法。

三、实验内容

1. 用拨码开关产生8421BCD 码,用EPLD 产生字形编码电路和扫描驱动电路,然后进行仿真,观察波形,正确后进行设计实现,适配划分。调节时钟频率,感受“扫描”的过程,并观察字符亮度和显示刷新的效果。

2. 编一个简单的从0~F 轮换显示十六进制数的电路。

四、实验原理

4 位拨码开关提供8421BCD 码,经译码电路后成为7 段数码管的字形显示驱动信号(A……G)。扫描电路通过可调时钟输出片选地址SEL[3..0]。由SEL[3..0]和(A……G)决定8 位中的哪一位显示和显示什么字形。SEL[3..0] 变化的快慢决定了扫描频率的快慢。

五、实验报告

1、一个7 段数码管可产生多少种字符,产生所有字符需要多少根译码的信号线。

答:一个7段数码管可产生2^7=128种字符,产生所有字符至少需要7根被译码信号线。但假如只编译0-F,16个字符,则至少只需要4根被译码信号线

2、你在实验中采用的扫描频率是多少?

答:最低扫描频率为256Hz,我采用的扫描频率是265Hz

3、结合本实验,简述逻辑电路的层次式设计方法的基本步骤。

答:

4、实验中存在的问题和解决方法。

实验五用JK触发器设计同步8421 码加法计数器

评阅人:评阅日期:年月日成绩实验报告:

一、实验要求

1.用JK 触发器设计同步8421 加法计数器。

2.用实验十一的“扫描显示电路”进行显示,具体连线根据每个实验内容完成时的管脚划分和定义,同相应的输入、输出接口功能模块连接。

3.实验结果由指导教师现场检查。

1、按照同步时序电路的设计方法写出设计过程,画出逻辑图。

(1)确定触发器的个数。首先根据状态的个数来确定所需要触发器的个数,如给定的状态个数为n,由应满足n≤2K,K为实现这来状态所需要的触发器的个数。(实际使用时可能给定的状态中存在冗余项,这时一般还须对状态进行化简。)

(2)列出状态转移真值表。根据状态列出状态转移真值表,也称状态表、状态转移表。

(3)触发器选型。选择合适的触发器JK-FF。根据状态图和给出的触发器的型号写出其输入方程,通常在写输入方程时须对其进行化简,以使电路更简单。

(4).求出输出方程。根据状态表,求出输出逻辑函数Z的输出方程,还过有些电路没有独立的输出,这一步就省了。

( 5)画出逻辑图。根据输入方程、输出方程画出逻辑电路图。

(6)讨论设计的电路能否自启动。在设计的电路中可能出现一些无关的状态,这些状态能否经过若干个时钟脉冲后进行有效的状态。

2、画出包含仿真方案的总体逻辑图(可以分层描述)

3、实验中存在的问题和解决方法

答:时序逻辑器件的清零端和置1端。如果是低电平有效,则正常工作时这两端都接1。反之如果是高电平有效,则正常工作时这两端都接0

实验六用74LS161 采用清零和置数法组成六十进制和

二十四进制计数器

一、实验要求

1.用74LS161 采用清零和置数法组成六十进制和二十四进制计数器。

2.具体连线根据每个实验内容完成时的管脚划分和定义,同相应的输入、输出接口功能模块连接。

3.实验结果由指导教师现场检查。

说明:

计数时钟频率CKCNT<0.5Hz;扫描时钟频率CLKDSP>40Hz。

1、写出74161 的功能表,并指出此功能表能给出那些对实现模数变换有用的信息。

2、画出你实现的六十进制和二十四进制计数器的连线图。

60

24

3、根据软件仿真结果画出仿真波形(要反映计数循环)。

60

24

4、实验中存在的问题和解决方法。

实验八字节锁存器

一、实验要求

1.设计一个字节锁存器。

2.实验结果由指导教师现场检查。

二、实验报告

实验九利用参数模块化库实现ROM

一、实验要求

1.设计一个256X8 的ROM。

2.实验结果由指导教师现场检查。

二、实验原理

1、进入原理图编辑界面,从mega_lpm 元件库中选择lpm_rom。

4、硬件仿真方案。

●给定任何一个8 位地址,可以从ROM 中读出一个字节,这个字节需要

用 2 个LED 数码管来显示,这两个LED 必须分时驱动。

●可以用AHDL 设计一个半字节分离电路,负责将一个字节的内容拆成2

个半字节,每个半字节是一个16 进制数,经过7 段译码器deled 的处理,可以用一个LED 数码管显示。

●此半字节分离电路受一个控制信号sel0 的控制,当sel0=0 输出低四位,

当sel0=1 输出高四位。为实现刷新显示,此sel0 信号可以由一个T’FF 产生,当sel0 在时钟控制下反复出现0-1-0-1 时,即可重复显示低 4 位-高四位-低4 位-高四位。只要时钟频率足够高,就可看到一个字节的完整显示效果。

●至于选择哪两个LED 数码管显示,简单的办法可以让驱动8 字形数码

管所需的sel2,sel1 固定接高电平或固定接低电平,而将T’FF 的输出提供给驱动8 字形数码管所需的sel0。这样,就意味着选择最左边(sel2sel1sel0=110,111 )或最右边

(sel2sel1sel0=000,001)的的2 个数码管显示ROM 内容。

此方案的优点:结果判读直观

缺点:附加电路稍复杂。

层次式显示:

rom256_8_led.gdf:

字节 半字节分离电路half_byte 的AHDL 描述:

subdesign half_byte

(

din[7..0]:input; sel0:input;

dout[3..0]:output;

)

begin if !sel0 then

dout[3..0]=din[3..0]; else

dout[3..0]=din[7..4]; end if;

end;

评阅人:评阅日期:年月日成绩实验报告:

1、写出你在实验中自定义的存储器初始化文件(.mif 文件)的内容(要求与示例不同)

DEPTH = 256; % Memory depth and width are required %

WIDTH = 8; % Enter a decimal number %

ADDRESS_RADIX = HEX; % Address and value radixes are optional %

DATA_RADIX = HEX; % Enter BIN, DEC, HEX, or OCT; unless %

% otherwise specified, radixes = HEX %

-- Specify values for addresses, which can be single address or range

CONTENT

BEGIN

[0..F] : 00; % Range-- address from 0 to F = 00 %

[10..1F] : 11; % Range-- address from 10 to 1F = 11 %

[20..2F] : 22; % Range-- address from 20 to 2F = 22 %

[30..3F] : 33; % Range-- address from 30 to 3F = 33 %

[40..4F] : 44; % Range-- address from 40 to 4F = 44 %

[50..5F] : 55; % Range-- address from 50 to 5F = 55 %

[60..6F] : 66; % Range-- address from 60 to 6F = 66 %

[70..7F] : 77; % Range-- address from 70 to 7F = 77 %

[80..8F] : 88; % Range-- address from 80 to 8F = 88 %

[90..9F] : 99; % Range-- address from 90 to 9F = 99 %

[A0..AF] : AA; % Range-- address from A0 to AF = AA %

[B0..BF] : BB; % Range-- address from B0 to BF = BB %

[C0..CF] : CC; % Range-- address from C0 to CF = CC %

[D0..DF] : DD; % Range-- address from D0 to DF = DD %

[E0..EF] : EE; % Range-- address from E0 to EF = EE %

[F0..FF] : FF; % Range-- address from F0 to FF = FF %

END

2、假定要用LPM_ROM 实现4 位二进制码到典型gray 码的转换,请你画出ROM 阵列图,

确定ROM 容量,并由此给出LPM_ROM 的配置参数和.mif 文件内容。

容量为2^4*4

Mif文件:DEPTH = 16;WIDTH = 4

3、实验存在的问题和解决方法。

不同的rom需要设置不同的参数

实验九任意整数分频器设计

实验内容

通过File-New新建一个Verilog HDL File,输入图4和图5所示内容(//引导的注释可不输),并存盘为int_div.v,并加入工程(也可直接将提供的int_div.v作为元件加入到工程中)。这是一个任意整数分频模块

//*******************************************************//

// 任意整数分频模块//

//*******************************************************//

//功能:对输入时钟clock进行F_DIV倍分频后输出clk_out。

//其中F_DIV为分频系数,分频系数范围为1~2^n (n=F_DIV_WIDTH)

//若要改变分频系数,改变参数F_DIV或F_DIV_WIDTH到相应范围即可。

//若分频系数为偶数,则输出时钟占空比为50%;

//若分频系数为奇数,则输出时钟占空比取决于输入时钟占空比和分

//频系数(当输入为50%时,输出也是50%)。

//--------------------------------------------------------

//奇数倍分频:三倍分频的时序图如下所示。

// 1 2 3 4 5 6

//clock |--|__|--|__|--|__|--|__|--|__|--|__|

//clk_p_r |_____|-----------|_____|-----------|

//clk_n_r ---|_____|-----------|_____|---------

//clk_out |________|--------|________|--------|

module int_div(clock,clk_out);

//I/O口声明

input clock; //输入时钟

output clk_out; //输出时钟

//内部寄存器

reg clk_p_r; //上升沿输出时钟

reg clk_n_r; //下降沿输出时钟

reg[F_DIV_WIDTH - 1:0] count_p;//上升沿脉冲计数器

reg[F_DIV_WIDTH - 1:0] count_n;//下降沿脉冲计数器

//参数--分频系数

parameter F_DIV = 48000000; //分频系数<<<<-----修改这里

parameter F_DIV_WIDTH = 32; //分频计数器宽度

wire full_div_p; //上升沿计数满标志

wire half_div_p; //上升沿计数半满标志

wire full_div_n; //下降沿计数满标志

wire half_div_n; //下降沿计数半满标志

//判断计数标志位置位与否

assign full_div_p = (count_p < F_DIV - 1);

assign half_div_p = (count_p < (F_DIV>>1) - 1);

assign full_div_n = (count_n < F_DIV - 1);

assign half_div_n = (count_n < (F_DIV>>1) - 1);

//时钟输出

assign clk_out = (F_DIV == 1) ? clock : (F_DIV[0] ? (clk_p_r & clk_n_r) : clk_p_r);

//上升沿脉冲计数

always @(posedge clock)

begin

if(full_div_p)

begin

count_p <= count_p + 1'b1;

if(half_div_p)

clk_p_r <= 1'b0;

else

clk_p_r <= 1'b1;

end

else

begin

count_p <= 0;

clk_p_r <= 1'b0;

end

end

//下降沿脉冲计数always @(negedge clock) begin

if(full_div_n)

begin

count_n <= count_n + 1'b1; if(half_div_n)

clk_n_r <= 1'b0;

else

clk_n_r <= 1'b1;

end

else

begin

count_n <= 0;

clk_n_r <= 1'b0;

end

end

endmodule

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

计算接科学与技术课程

我是这个学校大四的学生,专升本上来以后直接跟大三的一块上课,课是一样的。大三第一学期的课有:计算机网络、面向对象技术与、汇编程序设计与微机接口、自动控制原理、数字信号处理、操作系统。 第二学期的课有:语言、单片机原理与应用、网页设计与网站开发、毛泽东思想和中国特色社会主义理论体系概论、软件工程、局域网络技术与组网工程、及应用。 大四第一学期的课有:企业管理概论、技术及应用、嵌入式系统原理及应用课程设计、嵌入式系统开发实验、计算机控制技术、人工智能、大学生就业指导 大四第二学期作毕业设计。 主干学科:计算机科学与技术 主要课程:电路原理、模拟电子技术、数字逻辑、数字分析、计算机原理、微型计算机技术、计算机系统结构、计算机网络、高级语言、汇编语言、数据结构、操作系统、编译原理、系统分析与控制、信号处理原理、通信原理概论等 主要实践性教学环节:包括电子工艺实习、硬件部件设计及调试、计算机基础训练、课程设计、计算机工程实践、生产实习、毕业设计(论文)。 主要专业实验:编程与上机调试、电子线路、数字逻辑、微型计算机接口技术、计算机组成等 修业年限:四年 授予学位:工学或理学学士 开设院校 清华大学北京大学浙江大学 复旦大学南京大学华中科技大学 上海交通大学武汉大学吉林大学 中山大学四川大学哈尔滨工业大学 西安交通大学山东大学天津大学 中南大学南开大学东南大学 厦门大学北京航空航天大学同济大学 华南理工大学中国人民大学大连理工大学 西北工业大学东北大学重庆大学 中国农业大学兰州大学华东师范大学 中国矿业大学华东理工大学北京理工大学 北京科技大学苏州大学石油大学

中国地质大学武汉理工大学湖南大学 郑州大学南京航空航天大学南京理工大学 西安电子科技大学电子科技大学南京农业大学西北大学南京师范大学上海大学 暨南大学西北农林科技大学西南交通大学 华中农业大学扬州大学华中师范大学 东北师范大学中国海洋大学华南师范大学 山西大学华南农业大学湖南师范大学 南京工业大学北京工业大学北京化工大学 福建农林大学河海大学云南大学 北京交通大学陕西师范大学山东农业大学 江苏大学哈尔滨工程大学西南师范大学 燕山大学青岛大学福州大学 东华大学上海财经大学河北大学 合肥工业大学南昌大学湘潭大学 昆明理工大学浙江工业大学北京邮电大学 河南大学山东师范大学广西大学 广东工业大学安徽大学北京林业大学 河北师范大学江南大学成都理工大学 福建师范大学华北电力大学黑龙江大学 东北林业大学河北工业大学首都师范大学 山东科技大学湖北大学新疆大学 四川师范大学南京林业大学内蒙古大学 西南石油学院曲阜师范大学长江大学 安徽师范大学西北师范大学西南农业大学 汕头大学中北大学长安大学 南通大学河南师范大学西安理工大学 湖南科技大学贵州大学武汉科技大学 辽宁大学江西师范大学河北农业大学 东北农业大学济南大学东北财经大学 深圳大学山东理工大学安徽农业大学 广州大学哈尔滨师范大学西安建筑科技大学沈阳农业大学中央民族大学西南科技大学 上海理工大学西南财经大学长沙理工大学 徐州师范大学青岛科技大学四川农业大学 天津师范大学三峡大学湖南农业大学 沈阳工业大学兰州理工大学哈尔滨理工大学聊城大学华侨大学河南农业大学 大庆石油学院浙江工商大学南华大学 佳木斯大学吉林农业大学辽宁师范大学 山西农业大学烟台大学长春理工大学 中国传媒大学南京信息工程大学南京财经大学北华大学北京语言大学宁夏大学 重庆工商大学大连海事大学山西师范大学

基于Libero的数字逻辑设计仿真和验证实验报告(实验1)

实验报告 1、基本门电路 一、实验目的 1、熟悉EDA工具的使用;仿真基本门电路。掌握基于Verilog的基本门电路的设计及其验证。 2、熟悉利用EDA工具进行设计及仿真的流程。基本门电路的程序烧录及验证。 3、学习针对实际门电路芯片74HC00、74HC02、74HC0 4、74HC08、74HC32、74HC86进行VerilogHDL设计的方法。 二、实验环境 Libero仿真软件。 三、实验内容 1、掌握Libero软件的使用方法。 2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。 3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC00、74HC02、74HC0 4、74HC08、74HC32、74HC86相应的设计、综合及仿真。 4、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选一个 ....)的综合结果,以及相应的仿真结果。 四、实验结果和数据处理 1、所有 ..模块及测试平台代码清单 //74HC00代码-与非门 // module HC00(A,B,Y); input [4:1]A,B;

assign Y=~(A&B); endmodule //74HC00测试平台代码 // `timescale 1ns/1ns module testbench(); reg [4:1]a,b; wire [4:1]y; HC00 u1(a,b,y); initial begin a=4'b0000;b=4'b0001; #10 b=b<<1; #10 b=b<<1; #10 b=b<<1; a=4'b1111;b=4'b0001; #10 b=b<<1; #10 b=b<<1; #10 b=b<<1; end endmodule //74HC02代码-或非门 // module HC02(A,B,Y);

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

数字逻辑课程设计报告

课程设计基本要求 1. 学生可以完成以下题目之一,经指导教师检查、验收、提交设计报告、评定成绩。 2. 学生也可以自拟题目进行设计,但需经指导教师审核同意。 3. 设计方法由学生根据自己情况决定,如采用原理图设计、HDL语言设计等。 4、设计报告应包括设计思路或过程、原理图或HDL文本、实验结果(可选)、设计讨论或心得体会。 一、简要说明 数字钟是由振荡器、分频器、计秒电路、计分电路、计时电路组成。计时有24h 和12h两种。当接通电源或数字钟走时出现误差,都需要对数字钟作手动时、分、秒时间校正。 二、任务和要求 显示时、分、秒的十进制数字显示,采用24小时制。 校时功能。 整点报时。 三、可选用的器件 EDA-V实验箱 // 数字逻辑课程设计实验报告 此设计主要以数字电路的基础理论为指导,采用中、小规模的集成器件CD4060、74LS161、74LS160、和LS248设计而成。该电路采用模块设计、分模块安装、调试等方法设计而成,所选用的器件主要是中小规模的集成芯片,本产品由于采用多片74LS系列的集成芯片组成,生产成本低等原因,使这个产品设计既容易实现,又不会浪费太多成本。 产品由石英晶体振荡器产生频率可以调节的时钟脉冲信号,经十五分频得到秒信号脉冲作为数字钟计数器的时钟信号,当到达整点前一秒时,电路通过一个蜂鸣器准时报时。这个电路还可以通过手动,即过拨动开关来选择是否进行时间较准。 总电路初步设计 1.1 设计内容以及要求 显示时、分、秒的十进制数字显示,采用24小时制。 校时功能。整点报时。 主要参考元器件: CD4060,74LS161,74LS248,74LS74,7400与非门

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

信息工程专业介绍

信息工程专业介绍: 1.专业简介:信息技术是衡量一个国家现代化水平的重要标志,我国把信息技术列为21世纪发展战略计划的首位。信息工程是一门研究信息的产生、获取、传输、存储和显示技术的学科。信息工程专业培养在信息工程,重点是光电信息工程领域具有宽厚的理论基础、扎实的专业知识和熟练的实验技能的高级信息工程科技人才。毕业生将在光电信号的采集、传输、处理、存储和显示的科学研究、工程设计、技术开发和企业管理中展示才华。 2.主修课程:光电信息物理基础、光电子学、信号与系统、通信原理、图像处理、传感器原理技术、光电检测技术、自动控制理论、光纤通信、计算机通讯网络、工程光学、微机原理、计算机软件技术基础、计算机网络技术、计算机辅助设计、数字与模拟电子技术基础、电路基础以及有关数理基础和工程基础方面的课程。 3.毕业去向:本专业历年输送了大量优秀毕业生攻读硕士、博士学位。除此之外,主要为科研单位、高等院校、电信部门、信息产业部门、企事业单位及有关公司录用,从事光电信息工程与技术、通信工程与技术、光电信号检测、处理及控制技术等领域的研究、设计、开发应用和管理等工作。 电子信息工程专业 业务培养目标: 业务培养目标:本专业培养具备电子技术和信息系统的基础知识,能从事各类电子设备和信息系统的研究、设计、制造、应用和开发的高等工程技术人才。 业务培养要求:本专业是一个电子和信息工程方面的较宽口径专业。本专业学生主要学习信号的获取与处理、电厂设备信息系统等方面的专业知识,受到电子与信息工程实践的基本训练,具备设计、开发、应用和集成电子设备和信息系统的基本能力。 电子信息工程已经涵盖很广的范围。电话交换局里怎样处理各种电话信号,手机是怎样传递我们的声音甚至图象,我们周围的网络怎么样传递数据,甚至信息化时代军队的信息传递中如何保密等知识。我们通过一些基础知识的学习认识这些东西,并能够进行维护和更先进的技术和新产品的开发。 你首先要有扎实的数学知识,要学习许多电路知识,电子技术,信号与系统,计算机控制原理,信号与系统,通信原理等基本课程。自己还要动手设计、连接一些电路以及结合计算机的实验。譬如自己连接传感器的电路,用计算机自己设置小的通信系统,还会参观一些大的公司的电子和信息处理设备,对整体进行了解,理解手机信号、有线电视是如何传输的等,并能有机会在老师指导下参与大的工程的设计。 随着计算机和互联网日益深入到社会生活的多个层面,社会需求量相当大。现在是一个热门专业。 毕业后干什么——从事电子设备和信息系统的设计、应用开发以及技术管理等 随着社会信息化的深入,各行业大都需要本专业人才,而且薪金很高。可成为: 电子工程师——设计开发一些电子,通信器件,起薪一般2000元——6000元/月; 项目主管—策划一些大的系统,经验、知识要求很高,起薪一般4000元/月以上; 还可以继续进修成为教师,进行科研项目等 专业是个好专业:适用面比较宽,和计算机、通信、电子都有交叉;但是这行偏电,因此动手能力很重要;另外,最好能是本科,现在专科找工作太难了!当然大虾除外 本专业对数学和英语要求不低,学起来比较郁闷要拿高薪,英语是必需的; 吃技术这碗饭,动手能力和数学是基本功当然,也不要求你成为数学家,只要能看懂公式就可以了,比如微积分和概率统计公式,至少知道是在说些什么而线性代数要求就高一些,因为任何书在讲一个算法时,最后都会把算法化为矩阵计算(这样就能编程实现了,而现代的电子工程相当一部分工作都是编程) 对于动手能力,低年级最好能焊接装配一些小电路,加强对模拟、数字、高频电路(这三门可是电子线路的核心)的感性认识;工具吗就找最便宜的吧!电烙铁、万用表是必需的,如果有钱可以买个二手示波器电路图吗,无线电杂志上经常刊登,无线电爱好者的入门书对实际操作很有好处

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

数电仿真实验报告

实验一:组合逻辑电路设计与分析 一、实验目的 (1)掌握组合逻辑电路的特点; (2)利用组合逻辑转换仪对组合逻辑电路进行分析。 二、实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻的输入信号的取值组合。根据电路的特定功能,分析组合逻辑电路的过程。 三、实验电路及步骤 (1)利用逻辑转换仪对已知电路进行分析 实验连接图如下: U1A 74LS136D U1B 74LS136D U1C 74LS136D U2A 74LS04D U2B 74LS04D U2C 74LS04D XLC1 A B 真值表和逻辑表达式如下: (2)根据要求利用逻辑转换仪进行逻辑电路分析。 问题的提出:火灾报警器只有在烟感、温感和紫外线三种不同类型的火灾探测器中两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号。

四、思考题 (1)设计一个四人表决电路。如果3人或者3人以上同意,则通过;反之,则被否决。用与非门实现。 (2)利用逻辑转换仪对下图所示逻辑电路进行分析 五、实验体会

实验二:编码器、译码器电路仿真实验 一、 实验目的 (1)掌握编码器、译码器的工作原理。 (2)常见编码器、译码器的作用。 二、 实验原理 数字信号不仅可以用来表示数,还可以用来表示各种指令和信息。通过编码和译码来实现。 (1)编码是指在选定的一系列二进制数码中,赋予每个二进制数码以某一固定含义。能完成编码功能的电路统称为编码器。 (2)译码是编码的逆过程,将输入的每个二进制代码赋予的含义翻译出来,给出相应的输出信号。 U1 74LS148D A 0 9 A 17A 26G S 14 D 313D 41D 52D 212D 111D 0 10 D 74D 63 E I 5E O 15 U2 74LS138D Y 0 15 Y 114Y 213Y 312Y 411Y 510Y 69Y 77A 1 B 2 C 3G 1 6~G 2A 4~G 2B 5 图2-1 编码器74LS148D 和译码器74LS138D 三、实验电路 (1)8-3线优先编码器 实验电路图如下:

数字逻辑实验报告(数字时钟设计)

数字逻辑实验报告

实验三、综合实验电路 一、实验目的: 通过一个综合性实验项目的设计与实现,进一步加深理论教学与实验软硬件平台的实践训练,为设计性实验做好充分准备。 二、实验原理: 根据要求的简单设计性的电路设计实验,应用基本器件与MSI按照电路设计步骤搭建出初级电路;设计型、综合型的较复杂实验电路 三、实验设备与器件: 主机与实验箱 四、实验内容: (1)实验任务:根据所学习的器件,按照电路开发步骤搭建一个时钟, 要求实现的基本功能有计时功能、校对时间功能、整点报时、秒表等功能。 (2)实验任务分析:完成该数字时钟,采用同步时序电路,对于计时 的的功能,由于时间的秒分时的进位分别是60、60、24,所以可以应用74LS163计数器分别设计2个模60计数器以及一个模24计数器,那么需要有7个秒输出,7个分输出,6个小时的输出;对于校对时间的功能,由74LS163的特性可知,当该器件处于工作状态时,每来一个CLK脉冲,计数值加1,所以可以手动控制给CLK脉冲,来进行时间的校对;对于整点报时功能,可以采用一个比较电路,当时间的分秒数值全部为零时,那么此时可以接通报时装置,可以在电路中设置报时的的时间;对于秒表功能,有两种方案,可以单独重新设计一个秒表装置,采用模100计数器以及两个模60计数器,可以进行优化,使用原先的两个模60计数器,这样可以简化电路,是电路简洁。 (3)实验设计流程:

(4)输入输出表: (5)各个功能模块的实现: A、计时功能模块的实现(电路图及说明)秒表部分及说明

说明:该部分是实现功能正常计时中的秒部分的计时工作。如图所示,图中采用两个74LS163来做一个模60计时器,计数的起止范围是0~59,(第一个74LS163采用模10计数,起止为0~9,第二个74LS163的计数起止范围是0~5),两个器件采用级联方式,用预置位方法实现跳转;该部分有7个秒输出,接到BCD译码显示器。 注解:第一个163器件: LDN端统一接到清零端ABCD端接地 ENP端接到VCC高电平ENT接高电平VCC 第二个163器件: LDN端统一接到清零端ABCD端接地 ENP端接到VCC高电平ENT接高电平第一个163的预置位段 分钟部分以及说明:

数字逻辑实验报告模板

实验名称: 数字逻辑实 验 系 别: 年 级: 专 业: 班 级: 学 号: 姓 名: 成 绩: 任课教师: 2016 年 5 月 21 日 试验一门电路逻辑功能及测试

1、实验目的 1、熟悉门电路逻辑功能 2、熟悉数字逻辑学习机及示波器的使用 2、实验仪器及材料 器件: 74LS00 二输入端四与非门 2片 74LS20 四输入端双与非门 1片 74LS86 二输入端四异或门 1片 3、预习要求 1、复习门电路工作原理及相应的逻辑表达式 2、熟悉所用集成电路的引线位置及各引线用途 3、了解双踪示波器使用方法 4、实验内容 实验前先检查机器电源是否正常。 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20一只,插入电路板,连线后根据芯片图检测门电路功能是否正确。 (2)将电平开关按表1.1置位,分别测出输出电压及逻辑状态。 表1.1 输入输出 1234Y H H H H L H H H L L H H L L L H

L L L L 2、 异或门逻辑功能测试 (1)二输入四异或门电路74LS86,按图1.2接线,输入端1,2,4,5接电平开关,输出端A、B、y接电平显示发光二 极管。 (2)将电平开关按表1.2置位,将结果填入表中。 输入 输出 A B Y L L H L H H H H H H L H L L L L L L H L H H L H 3、逻辑电路的逻辑关系 (1)用74LS00按图1.3,1.4接线,将输入输出的逻辑关系分别填入表1.3,1.4中。

(2)写出上面两个电路逻辑表达式。 5、思考 怎样判断门电路逻辑功能是否正常?

数字逻辑设计实验报告-实验13教材

浙江大学城市学院实验报告 课程名称 数字逻辑设计实验 实验项目名称 实验十二 数据选择器应用 学生姓名 专业班级 学号 实验成绩 指导老师(签名 ) 日期 注意: ● 务请保存好各自的源代码,已备后用。 ● 完成本实验后,将实验项目文件和实验报告,压缩为rar 文件,上传ftp 。如没有个人 文件夹,请按学号_姓名格式建立。 ftp://wujzupload:123456@10.66.28.222:2007/upload ● 文件名为:学号_日期_实验XX ,如30801001_20100305_实验0 1 一. 实验目的和要求 1. 掌握数据选择器的逻辑功能和使用方法。 2. 学习用数据选择器构成组合逻辑电路的方法。 二. 实验内容、原理及实验结果与分析 1、用74LS151实现逻辑函数 要求实现BC A AC C B A Y ++=,自己写出设计过程,画出接线图,并验证其逻辑功能。 计算得到m0=m7=0,m2=m4=m5=m6=1,m1=m3=D (1) 设计原理图 (2) 仿真,模拟验证,若组合成总线显示时,需要注意高低位

(3)组合输出信号 (4)配置管脚 (5)下载到FPGA

(6) 74LS151的输入端接逻辑电平输出(拨位开关),输出端Z 接逻辑电 平显示(发光二极管)。逐项测试电路的逻辑功能,记录测试结果。 2、用74LS151实现逻辑函数 要求实现逻辑函数C B CD A B A F ++=,自己写出设计过程,画出接线图,并验证其逻辑功能。芯片插法,电源、地线接法与实验内容1相同,这里只需要自己实现逻辑函数,然后连线实现其功能。 (1) 设计原理图 (2) 仿真,模拟验证,若组合成总线显示时,需要注意高低位

EDA数字逻辑实验报告

实验报告 课程名称_数字逻辑及系统设计实验学生学院____计算机____________ 专业班级软件2012(2)班 _ 学号 3112006177 学生姓名陈海兵 指导教师_____林小平 _________ 2013年 12 月24 日

一、 实验目的 1. 熟练掌握基本门电路的主要用途以及验证它们的逻辑功能。 2. 熟练掌握常用组合逻辑电路的基本原理及其逻辑电路功能。 3. 熟练掌握常用时序逻辑电路的基本原理及其逻辑电路功能。 4. 掌握Libero IDE 基于FPGA 的设计流程。 5. 熟悉FPGA 的设计与开发流程。熟悉芯片烧录的流程及步骤。 二、 实验要求 1. 要求每人能独立完成实验。严禁抄袭。 2. 能独立搭建Libero IDE 软件基础环境,掌握FPGA 的开发流程。 3. 按照实验指导书中P56-69的实验步骤进行设计,每一步骤均需要截图显示。 4. 完成3次仿真(综合前,综合后,布局布线后),并将仿真波形截图显示。 5. 将程序烧录到Actel Proasic3 A3P030 FPGA 核心板,在数字逻辑及系统实验箱上完成连 线,验证代码的正确性。 6. 纸制版的封面单面打印,其他页面必须双面打印。全班刻一张光盘。 三、 实验内容 1. 设计题目:用3-8译码器74HC138实现举重比赛的裁判表决电路的组合逻辑函数 ,写出模块代码和测试平台代码。 2. 74HC138功能表参照教材中P53表2-9,引脚图参照实验指导书中P30图2-16。 3. 把每一个步骤的实验结果截图,按实验指导书中P6图1-7中所列FPGA 引脚,手工分 配引脚,最后通过烧录器烧录至FPGA 核心板上。 4. 按分配的引脚连线,实测相应功能并记录结果。 四、 实验结果与截图 1. 模块及测试平台代码清单。 模块代码 // 74HC138.v module decoder3_8_1(DataIn,Enable1,Enable2,Enable3,Eq,y); input [2:0]DataIn; input Enable1,Enable2,Enable3; output [7:0]Eq; reg [7:0]Eq; output y; reg y; integer I; always @(DataIn or Enable1 or Enable2 or Enable3) begin if(Enable1||Enable2||!Enable3) Eq=0; AC BC AB Y ++=

数字逻辑实验报告

数字逻辑实验报告:加法器

安徽师范大学 学院实验报告 专业名称软件工程 实验室 实验课程数字逻辑 实验名称加法器实验姓名 学号 同组人员 实验日期 2013.3.26

注:实验报告应包含(实验目的,实验原理,主要仪器设备和材料,实验过程 和步骤,实验原始数据记录和处理,实验结果和分析,成绩评定)等七项内容。具体内容可根据专业特点和实验性质略作调整,页面不够可附页。 实验目的:学会使用实验箱搭建基本组合逻辑电路。 实验原理:全加器是中规模组合逻辑器件,它实现二进制数码的加法运算,是计算机中最基本的运算单元电路。一位加法器有三个输入端Ai 、B i 、C i -1,即被加数,有两个输出端S i 和B i 即相加及向高一位的进位输出。 (全加真值表) Si=A i B i C i -1+A i B i C i -1+A i B i C i -1+A i B i C i -1 C i =A i B i +A i C i -1+B i C i -1 全加器主要用于数值运算;另外,全加器还可以实现组合逻辑函数。 主要仪器设备和材料:数字逻辑电路实验装置、芯片 74LS32、芯片 74LS08、 芯片74LS86,导线 实验过程和步骤: ①关闭实验箱的电源开关,将三个芯片正确地安装在实验箱装置上; ②分别用三根导线将三个芯片的第14号引脚与实验箱左下角的+5V 连接起来,,再分别用三根导线将三个芯片的第7号引脚与实验箱左下角的GND 连接 Ai B i C i -1 S i B i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

数字钟数字逻辑

数字钟的设计 一、数字钟的功能描述 (1)、计时和显示功能 采用24小时制,以十进制数字显示时、分、秒(时从00-23,分、秒从00-59)。(2)、校时功能。 当数字钟走时有偏差时,应能手动校时。 二、数字钟的设计思路 根据功能要求,整个数字钟分为计时和校时两个部分。 计时部分秒计时电路接收1Hz时基信号,进行60进制计数,计满后秒值归0,并产生1/60Hz时钟信号,分钟计时电路接收1/60Hz时钟信号,进行60进制计数,计满后秒值归0,并产生1/3600Hz时钟信号,,小时计时电路接收1/3600Hz 时钟信号,进行24小时计数,计满后小时、分、秒均归0,如此循环往复。 校时部分,采用两个瞬态按键配合实现,1号键产生单脉冲,控制数字在计时/校时/校分/校秒两种状态间转换,2号键通过控制计数使能端让时/分/秒计数器发生状态翻转发达到指定的数值。 总体原理电路如下图-1所示: 图-1数字钟的原理电路 三、采用原理图和HDL混合设计方式实现数字钟 (1)小时计时电路 小时计时电路需要24进制计数,其电路下图-2所示:

图-2 小时计时电路 该电路用两片74160(一位十进制加法计数器)采用同步连接构成24进制计数器,通过译码电路识别暂态“24”,输出低电平使计数器清零。整个计数循环为00→01→02→……→23→00→……,共有24个稳定状态。计数值采用BCD码形式,Q7-Q4表示小时的十位,Q3-Q0表示小时的个位。EN输入端当正常计数状态时接收分钟计时电路的进位输出,而在校时状态时接收校时脉冲用于控制小时值的翻转。小时计时模块的输入输出端口如下图-3所示: 图-3 小时计时电路的端口特征 (2)分钟、秒计时电路 分钟、秒计时需要60进制计数,其电路下图-4所示: 图-4 分钟计时电路 该电路用两片74160(一位十进制加法计数器)采用同步连接构成60进制计数器,通过译码电路识别暂态“59”,输出低电平使计数器清零。整个计数循环为00→01→02→……→58→59→00→……,共有60个稳定状态。计数值采用BCD 码形式,Q7-Q4表示分钟或秒的十位,Q3-Q0表示分钟或秒的个位。EN输入端当正常计数状态时接收分钟计时电路的进位输出,而在校时状态时接收校时脉冲用于控制小时值的翻转。计满进位输出端CO用于触发高一级计数器的计数动作(秒计满触发分钟的计数,分钟计满触发小时的计数)。分钟、秒计时模块的输入输出端口如下图-5所示: 图-5 分钟/秒计时电路的端口特征 (1)计时/校时的切换由模块Count_control实现,其端口特征如下图-6所示:

数字逻辑实验报告2(电子钟20190418物联网本)_模板

数字逻辑实验报告(2) 数字逻辑实验2 多功能电子钟系统设计成绩 评语:(包含:预习报告内容、实验过程、实验结果及分析) 教师签名 姓名: 学号: 班级:物联网1701 指导教师:徐有青 计算机科学与技术学院 20 年月日

数字逻辑实验报告 多功能电子钟系统设计实验报告

多功能电子钟系统设计 1、实验名称 多功能电子钟系统设计。 2、实验目的 要求同学采用传统电路的设计方法,对一个“设计场景”进行逻辑电路的设计,并利用工具软件,例如,“logisim”软件的虚拟仿真来验证电子钟电路系统的设计是否达到要求。 通过以上实验的设计、仿真、验证3个训练过程使同学们掌握小型电路系统的设计、仿真、调试方法以及电路模块封装的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验容 设计场景:多功能数字钟是一种用数字显示秒、分、时的计时装置,当前从小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟无处不在。 多功能数字钟的基本功能如下: (1)显示时、分、秒; (2)可以采用24小时制或12小时制(上午和下午); (3)整点报时,整点前10秒开始,整点时结束; (4)单独对“时、分”计时校准,对分钟值校准时最大分钟值不向小时值进位; (5)闹钟10秒提醒。 使用logisim软件对你设计电子钟电路进行虚拟仿真验证,具体要求如下。 (采用logisim软件提供的“时钟频率”为8hz的信号源)

(1) 具有校准计数值功能的六十进制计数器电路 采用“四位二进制可逆计数器”这个“私有”元件和相应元器件,设计一个具有对计数值进行校准的六十进制计数器,并封装,该计数器逻辑符号参见图2-1所示。 图2-1 校准计数值的60进制计数器 六十进制计数器的输入输出引脚定义如下: (a )一个清零端Clr ; (b )一个累加计数脉冲输入端CP U ; (c )一个累减计数脉冲输入端CP D ; (d )八个计数器状态输出值Q 1D Q 1C Q 1B Q 1A Q 0D Q 0C Q 0B Q 0A ,采用8421码分别表示计数器状态的十位和个位; (e )一个计数值校准输入控制信号Adj ,当Adj 为“1”时通过CP U 对计数值进行加计数或校准,Adj 为“0”时通过CP D 对计数值进行减计数校准(由于受“四位二进制可逆计数器”约束),CP D 可以对计数值的十位或个位进行递减校准(递减的时候不需要循环,回到0即可); (f )每当计数累计满60产生一个进位输出信号Qcc 。 计数器的状态请采用“十六进制的数字显示器”显示。 (2)具有校准计数值的十二进制计数器或二十四进制的计数器电路 采用“四位二进制可逆计数器”这个“私有”元件和相应元器件,设计一个具有对计数值进行校准的十二进制计数器或二十四进制的计数器,并封装,该计数器逻辑符号参见图2-2所示。

数字逻辑实验报告-Verilog时序逻辑设计

电子科技大学 实验报告 学生姓名:任彦璟学号:2015040101018 指导教师:吉家成米源王华 一、实验项目名称:Verilog时序逻辑设计 二、实验目的: 掌握边沿D触发器74x74、同步计数器74x163、4位通用移位寄存器74x194,的工作原理。 设计移位寄存器74x194设计3位最大序列长度线性反馈移位寄存器(LFSR:Linear Feedback Shift Register)计数器。 设计同步计数器74x163 。 三、实验内容: 1.设计边沿D触发器74x74。 2.设计通用移位寄存器74x194。 3.采用1片74x194和其它小规模逻辑门设计3位LFSR计数器。 4.设计4位同步计数器74x163。 四、实验原理: 74x74逻辑电路图

CLK_D CLR_L_D S1_L S1_H S0_L S0_H w1 w2 w3 w4 w5 w6 w7 w8 w9 w10 w11 w12 w13 w14 w15 w16 w17 w18 w19 w20 74x194逻辑电路图 3位LFSR逻辑电路图

74x163逻辑电路图 上图的设计可以采用门级描述,也可以采用教材《数字设计—原理与实践》(第4版)第525页的表8-20中的行为描述 五、实验器材(设备、元器件): PC 机、Windows XP 、Anvyl 或Nexys3开发板、Xilinx ISE 14.7开发工具、 Digilent Adept 下载工具。 六、实验步骤: 实验步骤包括:建立新工程,设计代码与输入,设计测试文件,设置仿真,查看波形,约束与实现、生成流代码与下载调试。 七、关键源代 码及波形图: 1.D 触发器的Verilog 代码 源码如下 module vr74x74(CLK, D, PR_L, CLR_L, Q, QN); input CLK, D, PR_L, CLR_L ; output Q, QN ; wire w1, w2, w3, w4 ; nand (w1, PR_L, w2, w4); nand (w2, CLR_L, w1, CLK) ; nand (w3, w2, CLK, w4) ; nand (w4, CLR_L, w3, D) ; nand (Q, PR_L, w2, QN); nand (QN, Q, w3, CLR_L); endmodule

相关文档
最新文档