多功能电子钟课程设计报告

合集下载

多功能数字电子钟设计报告

多功能数字电子钟设计报告

电气与电子信息工程学院数字电子技术课程设计报告设计题目:多功能数字电子钟设计教师评语:成绩评阅教师日期多功能数字钟电路设计一、设计的任务 (1)二、设计的要求 (2)三、设计的作用和目的 (2)四、设计过程 (3)1系统原理框图 (4)2方案设计与论证 (5)2.1时间脉冲产生电路 (5)2.2分频器电路 (7)2.3时间计数器电路 (8)2.4译码驱动及显示单元电路 (9)2.5校时电路 (9)2.6报时电路 (11)3单元电路设计、参数计算和元器件的选择 (13)3.1时间脉冲产生电路的设计 (13)3.2计数电路的设计 (13)3.2.1 60进制计数器的设计 (13)3.2.2 24进制计数器的设计 (14)3.3 译码及驱动显示电路 (15)3.4 校时电路的设计 (15)3.5 报时电路 (17)3.6电路总图 (18)4电路的安装与调试 (19)4.1时钟结果仿真 (19)4.2 秒钟个位时序图 (19)4.3报时电路时序图 (20)4.4测试结果分析 (20)五、心得体会及建议 (21)六、参考文献 (22)七、附录附录1电路原理图 (23)附录2原件清单 (24)附录3部分芯片引脚图与功能表 (25)一、课程设计的基本任务本课程设计的基本任务,通过指导学生循序渐进地独立完成数字电路的设计任务,加深学生对理论知识的理解,有效地提高了学生的动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。

重提高学生在数字电路应用方面的实践技能,树立严谨的科学作风,培养学生综合运用理论知识解决实际问题的能力。

学生通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。

二、课程设计基本要求通过课程设计各环节的实践,应使学生达到如下要求:1.掌握数字逻辑电路分析和设计的基本方法⑴根据设计任务和指标,初选电路;⑵通过调查研究、设计计算,确定电路方案;⑶选测元器件,安装电路,独立进行试验,并通过调试改进方案;⑷分析课程设计结果,写出设计总结报告。

多功能电子时钟数字系统课程设计设计实验报告

多功能电子时钟数字系统课程设计设计实验报告

多功能电子时钟数字系统课程设计设计实验报告数字系统课程设计设计实验报告———多功能电子时钟目录一、电子时钟的功能及工作介绍 01、本设计电子时钟具有的功能 02、本设计电子时钟工作介绍 0二、设计思路 0三、各模块具体介绍 (1)计数器模块: (1)控制模块: (3)四、仿真 (6)五、实验成果 (6)六、实验总结和感想 (6)1、实验错误排查和解决 (6)2、实验感想 (7)七、各模块代码 (8)1、计数器模块 (8)2、控制模块 (20)一、电子时钟的功能及工作介绍1、本设计电子时钟具有的功能1)具有显示时、分、秒的功能,能准确显示时间2)能够手动设置时间3)具有闹钟功能,可以设置闹钟的时间,然后再实际时间与设定时间相等是闹钟响,并有闹钟开关,可控制其是否响4)具有秒表功能,可以累计计时2、本设计电子时钟工作介绍此电子时钟开机后即会显示时间,其中后两位数码管显示秒,前两位数码管显示分,还可以通过拨盘开关S1来使得前两位数码管显示小时。

(开机后,按下按键1一次,会继续显示时间。

)此后,每按下按键1一次,会显示设置小时界面,按下按键1两次会显示设置分钟界面,按下按键1三次会显示闹钟设置小时界面,按下按键1四次会显示闹钟设置分钟界面,按下按键1五次会显示秒表界面。

而在每一个界面,按下按键2相应的位会开始跳动,在按下按键2时,跳动停止,此时按下按键3,即确认键,则会返回时间显示状态。

二、设计思路设计一个电子时钟,必然要用到计时器,而需要设置时间和闹钟,又需要控制器来控制系统所处的状态。

我们采用外部一个按键来切换系统的状态,用另一个按键来调整时间和启动秒表,再有一个按键来确认操作,并返回显示状态,继续等待命令。

在控制器中,需要接受外部信号,并给出信号给计时器,使其做出相应的动作。

电子技术课程设计报告---多功能数字时钟

电子技术课程设计报告---多功能数字时钟

电子技术课程设计数字钟的设计一、设计任务与要求1.能直接显示“时〞、“分〞、“秒〞十进制数字的石英数字钟。

2.可以24小时制或12小时制。

3.具有校时功能。

可以对小时和分单独校时,对分校时的时候,停顿分向小时进位。

校时时钟源可以手动输入或借用电路中的时钟。

4.整点能自动报时,要求报时声响四低一高,最后一响为整点。

5.走时精度高于普通机械时钟〔误差不超过1s/d〕。

二、方案设计与认证1、课题分析数字时钟一般由6个局部组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器,译码器和显示器组成计时系统。

秒信号送入计数器进展计数,把累计的结果以“时〞、“分〞、“秒〞的十进制数字显示出来。

“时〞显示由二十四进制计数器、译码器和显示器构成,“分〞、“秒〞显示分别由六十进制计数器、译码器构成。

其原理框图如图1所示。

2、方案认证〔1〕振荡器振荡器是计时器的核心,主要用来产生时间标准信号,也叫时基信号。

数字钟的精度,主要取决于时间标准信号的频率及稳定度。

振荡器的频率越高,计时的精度就越高,但耗电量将增大。

一般采用石英晶体振荡器经过分频后得到这一信号,也可采用由555定时器构成的多谐振荡器作为时间标准信号。

〔2〕分频器振荡器产生的时基信号通常频率都很高,要使它变成能用来计时的“秒〞信号,需由分频器来完成。

分频器的级数和每级的分频次数要根据时基频率来定。

例如,目前石英电子钟多采用32768 Hz的标准信号,将此信号经过15级二分频即可得到周期为1s的“秒〞信号。

也可选用其他频率的时基信号,确定好分频次数后再选择适宜的集成电路。

〔3〕计数器数字钟的“秒〞、“分〞信号产生电路都由六十进制计数器构成,“时〞信号产生电路由二十四进制计数器构成。

“秒〞和“分〞计数器用两块十进制计数器来实现是很容易的,它们的个位为十进制,十位为六进制,这样,符合人们通常计数习惯。

“时〞计数也可以用两块十进制计数器实现,只是做成二十四进制。

多功能电子时钟实训报告

多功能电子时钟实训报告

一、实训目的本次实训旨在通过学习单片机技术,设计并实现一个基于单片机的多功能电子时钟系统。

通过实训,使学生掌握以下知识和技能:1. 熟悉单片机的基本原理和编程方法;2. 掌握电子时钟系统的硬件设计、软件编程和调试方法;3. 提高动手能力和实际应用能力。

二、实训内容1. 系统硬件设计(1)核心控制器:选用AT89C51单片机作为系统的核心控制器。

(2)时钟芯片:使用DS1302实时时钟芯片,提供精确的时间信号。

(3)液晶显示屏:选用1602液晶显示屏,用于显示时间、日期、温度等信息。

(4)按键模块:设计包含时间设置键、日期设置键、闹钟设置键等的按键模块。

(5)温度传感器:使用DS18B20温度传感器,用于检测环境温度。

(6)电源模块:为整个系统提供稳定的工作电压。

2. 系统软件设计(1)主程序:负责系统初始化、时钟显示、闹钟提醒、温度检测等功能。

(2)中断程序:负责时钟中断、闹钟中断、温度中断等。

(3)显示程序:负责液晶显示屏的显示内容更新。

(4)按键处理程序:负责按键扫描、按键消抖、按键功能处理等。

三、实训过程1. 硬件搭建(1)根据设计图纸,焊接电路板。

(2)连接单片机、时钟芯片、液晶显示屏、按键模块、温度传感器和电源模块。

(3)检查电路连接是否正确,确保系统硬件正常工作。

2. 软件编程(1)编写主程序、中断程序、显示程序和按键处理程序。

(2)使用C语言进行编程,并利用Keil软件进行编译。

(3)将编译好的程序烧录到单片机中。

3. 调试与优化(1)在Proteus仿真软件中,对系统进行仿真调试。

(2)检查程序运行是否正常,优化程序代码。

(3)对硬件电路进行调整,确保系统稳定运行。

四、实训结果1. 系统功能实现(1)显示当前时间、日期和温度。

(2)设置闹钟时间,并在设定时间响起。

(3)计时器功能,可以记录时间。

(4)温度检测功能,实时显示环境温度。

2. 系统稳定性通过仿真和实际测试,系统稳定运行,满足设计要求。

多功能数字钟数电课程设计实验报告

多功能数字钟数电课程设计实验报告

(数电课程设计)实验报告(理工类)2021 至2021 学年度第二学期课程名称多功能数字钟电路设计系别班级电气系11级电子信息工程一班指导教师周旭胜学号姓名耿王鑫1一、谷和伟12贺焕13、黄兴荣14解军1五、井波16李丰17、李小飞18梁富慧19目录一、设计要求及任务 ...................................................................................... 错误!未定义书签。

二、系统设计方案 ........................................................................................ 错误!未定义书签。

三、器件选择 ................................................................................................ 错误!未定义书签。

1、74LS160............................................................................................... 错误!未定义书签。

2、74LS107............................................................................................... 错误!未定义书签。

3、74LS90................................................................................................. 错误!未定义书签。

显示屏....................................................................................................... 错误!未定义书签。

单片机多功能电子钟课程设计报告

单片机多功能电子钟课程设计报告

《单片机原理及应用课程设计报告》课题:以电子钟为主的多功能设计班级车辆3101 学号3102117107学生延力指导教师段卫平工学院电子与电气工程学院目录1 课程设计概述 (4)1.1 设计课题任务 (4)1.2 功能要求说明 (4)1.3 设计课题总体方案及工作原理 (4)2 设计课题硬件系统的设计 (6)2.1 硬件系统各模块功能简要介绍 (6)2.2 原理电路图 (7)2.3 设计PCB图,元器件布局图与与器件清单 (7)3 软件系统的设计 (8)3.1 软件系统各功能模块简要介绍 (8)3.2 设计课题软件系统程序流程框图 (8)3.3 设计课题软件系统程序清单 (11)4 仿真与误差分析 (12)4.1 设计课题的设计结论及使用说明 (12)4.2 设计课题的仿真结果 (12)4.3 设计课题的误差分析 (12)4.4 设计体会 (13)4.5 教学建议 (13)参考文献 (14)致 (15)附录 (16)一、设计目的1总体要求(1) 独立完成设计任务(2) 绘制系统硬件总框图(3) 绘制系统原理电路图(4) 制定编写设计方案,编制软件框图,完成详细完整的程序清单和注释;(5) 制定编写调试方案,编写用户操作使用说明书(6) 写出设计工作小结。

对在完成以上文件过程所进行的有关步骤如设计思想、指标论证、方案确定、参数计算、元器件选择、原理分析等作出说明,并对所完成的设计作出评价,对自己整个设计工作中经验教训,总结收获和今后研修方向。

2 具体要求本次工程实践的校部分主要以单片机为基础,进行单片机软件编程,目的是为了提高学生的软件编程和系统设计能力,整个设计系统包括两个部分,硬件及软件部分,硬件部分已经制作成功,学生只需要掌握其原理和焊接相应的元器件,掌握元器件的辨别和元器件的作用以及应用场所即可,另外对所焊接的电路还需要进行仔细的检查,判断是否有焊接错误的地方或者短路的地方,对出现的异常情况要能够根据现象判别原因,并具备解决问题的能力,从而切实提高学生的硬件电子电路的分析、判断能力。

多功能数字电子钟_VHDL

多功能数字电子钟_VHDL

四、各功能模块的源程序代码 :
-- CONTOR 模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity contor is
1 )“小时” 校时状态: 进入“小时”校时状态后,显示 “小时” 的数码管闪烁,每按动“ k” 键一次,“小时” +1,若不按动“ k”键 则小时数不变,一直按下“ k” 键则小时数一 4Hz 的频率递增计数。
2 )“分”校时状态:进入“分”校时状态后,显示“分”的数 码管闪烁,每按动“ k” 键一次,“分” +1,若不按动“ k”键则分数 不变,一直按下“ k” 键则分数一 4Hz的频率递增计数。
chs,cms,css,f4 :in std_logic; bsg,bmg,bhg,bsd,bmd,bhd :buffer std_logic_vector(3 downto 0); comout :out std_logic); end time_com; architecture time_comx of time_com is begin com:process(hh,mh,sh,hl,ml) begin if(bhg=hh and bhd=hl and bmg=mh and bmd=ml and bsg=sh)then comout<='1'; else comout<='0'; end if; end process; set:process(f4) begin if(f4'event and f4='1')then if(chs='1'and k='0')then if(bhg="0010" and bhd="0011")then bhd<="0000";bhg<="0000"; elsif(bhd="1001")then bhd<="0000";bhg<=bhg+1; elsif(bhd="0000"or bhd="0001" or bhd="0010"or bhd="0011"or bhd="0100"or bhd="0101"or bhd="0110"or bhd="0111"or bhd="1000")then bhd<=bhd+1; end if; end if; end if; end process; process(f4) begin if(f4'event and f4='1')then if(cms='1'and k='0')then if(bmg="0101" and bmd="1001")then

多功能数字闹钟电路设计实验报告

多功能数字闹钟电路设计实验报告

多功能数字闹钟电路设计实验报告
实验目的:设计一个多功能数字闹钟电路,能够显示时间、设定并响起闹铃。

实验原理:本实验采用数字集成电路实现数字显示和闹铃功能。

数字显示部分采用BCD到七段数码管解码器74LS47和共阴
七段数码管进行实现,闹铃部分采用555定时器集成电路作为发生器,通过驱动蜂鸣器发出声音。

实验仪器:多功能数字闹钟电路实验箱、数字集成电路
74LS47、七段数码管、555定时器集成电路、蜂鸣器、电源、
示波器等。

实验步骤:
1. 按照电路图连接电路。

将74LS47连接到七段数码管,将
555定时器连接到蜂鸣器和电路中相应的电源和地线。

2. 上电并调节电路供电电压。

3. 设定时间。

通过拨动开关和按钮进行时间的设定。

4. 切换闹钟状态。

通过开关切换闹钟的开启和关闭状态。

5. 监测闹钟时间。

借助示波器调整闹钟时间的精度。

6. 监测闹钟声音。

确认蜂鸣器发出的声音符合要求。

实验结果:实验中,我们成功设计并调试出了一个多功能数字闹钟电路。

通过拨动开关和按钮可以设定时间,并且可以通过切换开关来设置闹钟的开启和关闭状态。

实验中监测到的闹钟时间和声音都符合预期要求。

结论:通过本次实验,我们成功设计了一个多功能数字闹钟电路,实现了时间显示和闹铃功能。

实验结果显示该电路的性能良好,具有实用价值。

在实验中我们也学到了关于数字集成电路和定时器集成电路的使用和调试方法。

多功能电子钟课程设计报告教材

多功能电子钟课程设计报告教材

目 录一、设计要求一、设计要求 ……………………………………………………………………………………2 2二、设计方案和论证二、设计方案和论证 ……………………………………………………………………2 2(一)总设计原理图(一)总设计原理图 …………………………………………………………………… 2 2(二)设计方案的选择(二)设计方案的选择 ………………………………………………………………………………………………2 2 (三)硬件部分(三)硬件部分 ………………………………………………………………………………………………………………………4 4 (四)软件部分(四)软件部分 ………………………………………………………………………………………………………………………8 8 三、设计总结…………………………………………三、设计总结…………………………………………26 26一、设计要求1、准确计时,以数字形式显示时、分、秒的时间。

、准确计时,以数字形式显示时、分、秒的时间。

2、小时以24小时计时形式,分秒计时为60进位。

进位。

3、校正时间功能、校正时间功能,,即能随意设定走时时间。

即能随意设定走时时间。

4、准点报时,即闹钟功能,当走时到该时间,能以声或光的形式告警提示。

5、设计5V 直流电源,系统时钟电路、复位电路。

直流电源,系统时钟电路、复位电路。

6、跑表,测量时间。

、跑表,测量时间。

7、修改闪烁显示。

、修改闪烁显示。

二、设计方案和论证本次设计时钟电路,使用了ATC89C51单片机芯片控制电路,单片机控制电路简单且省去了很多复杂的线路,使得电路简明易懂,使用键盘键上的按键来调整时钟的时、分、秒,用一扬声器来进行定时提醒,同时使用汇编语言程序来控制整个时钟显示,使得编程变得更容易,这样通过四个模块:键盘、芯片、扬声器、器、LED LED 显示即可满足设计要求。

显示即可满足设计要求。

(一) 总设计原理框图如下图所示:总设计原理框图如下图所示:微型控制器 时钟电路时钟电路 声光报时声光报时校时输入校时输入 数据显示数据显示(二)设计方案的选择1.1.计时方案计时方案计时方案方案1:采用实时时钟芯片:采用实时时钟芯片现在市场上有很多实时时钟集成电路,如DS1287DS1287、、DS12887DS12887、、DS1302等。

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。

本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。

二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。

同时,使用按键作为输入进行功能的选择和设置。

2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。

将按键连接到FPGA的IO口,通过IO口输入按键的信号。

此外,还需要连接一个晶振电路来提供时钟信号。

3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。

具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。

通过按键的输入来切换不同的状态,实现不同功能的切换和设置。

4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。

(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。

(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。

(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。

以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。

多功能数字电子钟设计报告

多功能数字电子钟设计报告

多功能数字电子钟设计报告本文将介绍一个多功能数字电子钟的设计报告。

这个钟具有多种功能,可以显示时间,日期,室内温度和湿度,还可以设置闹钟。

这个钟被设计成简单易用,具有时尚外观和实用性。

硬件设计这个数字电子钟由以下主要部件组成:1. 微控制器:使用STM32F103微控制器进行控制和处理2. 显示屏:采用高清彩色TFT屏幕,尺寸为3.5英寸3. 传感器:使用DHT11温湿度传感器,可以实时监测室内的温度和湿度4. 时钟模块:使用DS1302 RTC(实时时钟)模块确保精准的时间显示5. 按键:包括上、下、左、右、确定和返回六个按键,方便用户设置和控制软件设计这个数字电子钟的软件设计采用了嵌入式设计的方法,代码分为三个主要部分:1. 时钟控制:这个数字电子钟确保了精准的时间显示,使用DS1302 RTC模块,可以确保时钟精度误差不超过±2秒/天。

时钟控制部分还包括时钟校准和闹钟设置。

2. 屏幕控制:这个数字电子钟使用3.5英寸TFT高清彩色屏幕,可以实现时钟、日期、温湿度和闹钟的显示。

屏幕控制部分可以显示多种信息,具有时尚的外观和设计。

3. 传感器控制:使用DHT11温湿度传感器监测室内环境。

传感器控制部分可以实现实时监测温度和湿度,并在屏幕上显示当前的室内温度和湿度。

功能设计这个数字电子钟具有以下主要功能:1. 时间显示:可以精准的显示当前的时间,包括小时、分钟和秒钟。

2. 日期显示:可以显示当前的日期,包括月份、日期和星期几。

3. 温湿度监测:可以实时监测室内的温度和湿度,并在屏幕上显示当前的数值。

4. 闹钟设置:可以设置多个闹钟,并在设定的时间开始响铃。

闹钟响铃时可以选择静音或自动关闭。

5. 操作简便:采用方便简单的按键操作设计,方便用户使用。

总结这个数字电子钟设计具有多种功能,采用了高清彩色TFT 屏幕,集精准时间、日期信息、温湿度信息便利的闹钟设置于一身,是一款可以满足日常生活需求的设计。

多功能电子钟设计实验报告

多功能电子钟设计实验报告

十二翻一模块
时间计数模块
图 4:“12 翻 1”小时计数器
第 8 页 共 17 页
多功能电子钟设计实验报告.doc
表 2:“12”翻一小时计数时序 思路:根据时序表,在 1~9 脉冲的作用下,正常加计数,用瞬时 1010 使触发器翻转, 在 10 号脉冲来到时 LS191 清零,在 12 号脉冲来到时,LS191 变成减法计数器,在 13 号 脉冲来到时,LS74 置 0。
OUTPUT PIN 12 ISTYPE 'COM';
COUNT1=[MG3,MG2,MG1,MG0];
第 11 页 共 17 页
多功能电子钟设计实验报告.doc
COUNT2=[MS2,MS1,MS0]; OUT=[MS2,MS1,MS0,MG3,MG2,MG1,MG0]; C,X=.C.,.X.;
OUTM
PIN 13 ISTYPE 'COM ISTYPE 'COM'; //时计数器的脉冲
"CONST DECLARATIONS
EQUATIONS OUTM=!(S2&CPSS # !S2&CP0); OUTH=!(S1&CPMS # !S1&CP0); 校时电路设计在 GAL3 中,详情请见附录的 GAL3 芯片报告。
仿电台报时电路:
仿电台报时即:在每个小时最后一分钟的 51,53,55,57 秒发出 500Hz 的叫声,在 59 秒发出 1KHz 的叫声。 其逻辑表达式为:OUT_COM=R4 & R6 & R0 & R3 & MS0 & MS2 & MG0 & MG3 & CP1K +

多功能数字钟的设计报告

多功能数字钟的设计报告

多功能数字钟的设计报告
一、简介
本项目为一款多功能数字钟,考虑到时代的发展,利用计算机技术和
电子工艺,设计出一款全新的数字钟,它可以满足日常生活中的不同需求。

二、功能
1、设置时间和日期的功能。

2、支持闹钟功能,可以设置多个闹钟,每天自动响铃提醒。

3、支持倒计时功能,用户可以设置倒计时的时间,可以实现计时功能。

4、支持自定义界面功能,用户可以根据自己的喜好来设置数字钟的
界面和背景图案。

5、钟表外观强大,具有耐用、耐磨、耐高温等特点,能够满足不同
应用环境的要求。

三、硬件系统
本项目采用先进的微控制芯片技术,设计制造的多功能数字钟由主控
单元、传感器、触摸控制板、显示屏、外壳等组成。

1、主控单元:采用先进的微控制器进行控制,并结合定时器、中断
处理模块进行时间控制,主控单元负责接收传感器信号,控制显示屏信息,实现时钟的功能。

2、传感器:采用光电传感器、按键传感器等,可以有效地接收外部
信号,实现对外部信号的检测。

3、触控板:采用触摸传感技术,可以有效地实现用户对时钟的操作,例如调节时间、设置闹钟等。

多功能电子钟设计报告

多功能电子钟设计报告

单片机课程设计报告班级:学号:姓名:专业:学院:多功能电子时钟设计一、设计任务1、基本任务:利用定时器/计数器中断和静态显示或动态显示,实现电子钟的时分秒精确走时和校准。

时间显示用四个数码管分别显示时、分,秒用点表示,在时和分的中间闪动。

时间校准用2个键实现:一个键K1做选择(选中要修改的位,选中的位用闪烁指示),一个键K2做加1键(对选中的位要加1修改)。

2、功能增强型任务:在基本任务的基础上加日历功能,能实现时、分、秒和月、日计时,分两屏显示。

二、设计要求1、基本任务要求:(1)时间走时准确,每天误差不能超过3秒。

(2)仿照电子表的校时功能,校时修改时,被修改为能闪烁显示,按键要灵敏。

(3)若最高位为0,高位要能灭零显示。

三、硬件设计1、按键的设计按键K1、K2、K3、K4分别连接单片机芯片的P1.4、P1.5、P1.6、P1.7引脚,K1为时间与日期的切换显示键,K2为时间校正键,K3为加1键,K4为确定键。

2、定时器的设计定时器采用T0,T0的工作方式为方式1,定时器初值=216—62500=3036,化为十六进制即为0BDC,所以定时器T0由3036计到65536所需的时间为1/16s。

3、显示电路的设计本设计采用串行口静态显示方式,数据由RXD(P3.0)口送到74LS164中,4段数码管和4片74LS164相串联,TXD(P3.1)口作为移位脉冲,连接74LS164的第8引脚。

四、资源分配1/16计数单元:2FH月单元:30H日单元:31H时单元;:32H分单元:33H秒单元:34H校正状态标志位:20H.1 时间日期标志位:20H.0 校正指针单元:21H 五、流程图六、程序代码ORG 0000HSJMP MAINORG 000BHLJMP ITOPORG 0030HMAIN: MOV 50H,#0FFH ;按键信息初始化为未按键状态MOV 30H,#1 ;月单元MOV 31H,#1 ;日单元MOV 32H,#0 ;时单元MOV 33H,#0 ;分单元MOV 34H,#0 ;秒单元MOV 2FH,#16 ;2FH为十六分之一秒计数单元CLR 20H.1 ;校正状态标志清零,为正常走时状态SETB 20H.0 ;时间/日期标志置1MOV TMOD,#01H ;置T0工作于方式1MOV TH0,#0BH ;采用12M晶振,定时十六分之一秒MOV TL0,#0DCH ;装载定时器初值MOV IE,#82H ; 允许中断SETB TR0 ;启动定时器SJMP $ITOP: PUSH PSW ;现场保护PUSH ACCMOV TH0,#0BHMOV TL0,#0DCHDJNZ 2FH,KEY ;计数开始MOV 2FH,#16 ;十六分之一秒计数单元MOV A,34HADD A,#01H ;在0~9之间,加01HDA A ;进行十进制调整MOV 34H,A ;送到秒计数单元CJNE A,#60H,KEY ;未到六十秒转移到KEY,到了则顺序往下执行MOV 34H,#00H ;秒单元清零MOV A,33H ;分单元加1,并做十进制调整ADD A,#01HDA AMOV 33H,A ;送到分计数单元CJNE A,#60H,KEY ;未到六十分转移到KEYMOV 33H,#00H ;分单元清零MOV A,32H ;时单元加1,并做十进制调整ADD A,#01HDA AMOV 32H,A ;送到时单元CJNE A,#24H,KEY ;未到二十四小时转移,到了则顺序往下执行MOV 32H,#00H ;时单元清零MOV A,31H ;日单元加1,并做十进制调整ADD A,#01HDA AMOV 31H,A ;送到日单元CJNE A,#31H,KEY ;未到三十一天转移MOV 31H,#01H ;日单元变为一MOV A,30H ;月单元加1,并做十进制调整ADD A,#01HDA AMOV 30H,A ;送到月单元CJNE A,#12H,KEY ;未到十二个月转移MOV 30H,#01H ;月单元变为一KEY: JNB 20H.1,ZOUSHI ;判断是否校正状态,不是,则转非校正状态LJMP JIAOSHI ;是,则转为校正状态ZOUSHI: MOV A,P1CJNE A,50H,KEY1 ;判断是否与上次按键相同,不相同则转移到KEYLJMP NONE1 ;相同则不断判键KEY1: MOV 50H,A ;保存上次按键信息JNB ACC.4,SWITCH1 ;是否按下切换键JNB ACC.5,SET1 ;是否为校正键LJMP NONE1 ;没按与无效键均不作判断SWITCH1:CPL 20H.0 ;时间与日期的转换NONE1: JNB 20H.0,DATE1 ;判断日期与时间的标志MOV 3BH,32H ;将时间送到显示缓冲区MOV 3AH,33HLJMP DISPDA TE1: MOV 3BH,30H ;将日期送到显示缓冲区MOV 3AH,31HLJMP DISPSET1: SETB 20H.1 ;切换到校正标志MOV 21H,#0 ;校正指针并请零CLR 20H.0 ;选择日期标志MOV 3BH,30H ;将日期送到显示缓冲区MOV 3AH,31HLJMP DISPJIAOSHI:MOV A,P1CJNE A,50H,KEY2 ;判断是否与上次按键相同LJMP NONE2 ;若相同则不能判断按键KEY2: MOV 50H,A ;保存上次按键信息JNB ACC.5,SET2 ;是否为校正键JNB ACC.6,ADD2 ;是否为加键JNB ACC.7,SURE ;是否为确定键LJMP NONE2 ;空操作SET2: INC 21H ;校正指针并自动加1ANL 21H,#03HSJMP NONE2ADD2: MOV A,#30H ;指向需要校正的指针ADD A,21HMOV R0,AMOV A,@R0 ;需要校正的指针并自动加1ADD A,#1DA AMOV @R0,ACJNE R0,#30H,DATE ;判断月是否校正完成,校正完成跳转日CJNE @R0,#13H,NONE2MOV @R0,#1SJMP NONE2DA TE: CJNE R0,#31H,HOUR ;判断日是否校正完成,完成则跳转到时CJNE @R0,#32H,NONE2MOV @R0,#1SJMP NONE2HOUR: CJNE R0,#32H,MIN ;判断时是否校正完成,完成则跳转到分CJNE @R0,#24H,NONE2MOV @R0,#0SJMP NONE2MIN: CJNE @R0,#60H,NONE2 ;校正分MOV @R0,#0SJMP NONE2SURE: CLR 20H.1 ;校时完成跳转到走时标志SJMP TIME2NONE2: JNB 21H.1,DATE2 ;判断送到显示缓冲区的是时间还是日期TIME2: SETB 20H.0 ;时间/日期标志置1,为显示时间状态MOV 3BH,32H ;时间送到显示缓冲区MOV 3AH,33HLJMP DISPDA TE2: CLR 20H.0 ;时间/日期标志位清零,为显示日期状态MOV 3BH,30H ;日期送到显示缓冲区MOV 3AH,31HDISP: MOV A,3BH ;显示缓冲区中的内容分解为四位BCD码依次放入43H~40H 单元ANL A,#0F0HSW AP AMOV 43H,AMOV A,3BHANL A,#0FHMOV 42H,AMOV A,3AHANL A,#0F0HSW AP AMOV 41H,AMOV A,3AHANL A,#0FHMOV 40H,AJNB 20H.1,DISP1 ;不是校正状态,正常显示,否则校正状态下,闪烁显示JNB 2FH.3,DISP1 ;若2FH.3为0正常显示,若2FH.3为1,校正内容对应的BCD 码单元送熄灭码JNB 21H.0,N1 ;从校正指针判断送熄灭码的单元,为0高两位传送,为1低两位传送MOV 40H,#0AHMOV 41H,#0AHSJMP DISP1N1: MOV 42H,#0AHMOV 43H,#0AHLJMP DISP1DISP1: MOV DPTR,#TAB ;指向表单MOV A,43H ;查最高位MOVC A,@A+DPTRCJNE A,#09H,NEXT1 ;判断最高位是否为0MOV A,#0FFH ;为0 则给熄灭码NEXT1: MOV SBUF,A ;传送到数码管上,串行静态显示JNB TI,$CLR TIMOV A,42H ;查第二位MOVC A,@A+DPTRMOV C,2FH.3 ;判断并改变小数点,并判断半秒亮半秒灭ANL C,20H.0MOV ACC.0,CMOV SBUF,A ;传送到数码管上JNB TI,$ ;等待传输完毕CLR TI ;允许继续传输MOV A,41H ;查第三位MOVC A,@A+DPTR ;查显示数据对应段码JB 20H.0,NEXT2 ;判断时间/日期标志CJNE A,#09H,NEXT2 ; 日期灭0,时间状太不灭0MOV A,#0FFHNEXT2: MOV SBUF,AJNB TI,$CLR TIMOV A,40H ;查第四位MOVC A,@A+DPTRMOV SBUF,AJNB TI,$CLR TIPOP ACC ;现场恢复POP PSWRETITAB: DB 09H,7DH,07H,15H,71H,91H,81H,3DH,01H,11H,0FFHEND七、总结通过维持两天的课程设计,我对单片机有了更深的理解,以前做一些简单的实验还能自己编写代码,然后独立完成实验,但现在做个复杂点的设计,还是觉得有些难度的,不过思路还是有点的,在自己尝试着编写程序时,遇到的困难还是挺多的,特别是在写校时和显示的程序写到一半就写不下了,最后不得不参考别人的程序!有时候自己觉得刚开始不会写程序不要紧,能看懂别人写的程序还是挺重要的,因为程序看多了,有一定的积累,到最后自己写程序还是没问题的。

多功能数字钟课程设计报告

多功能数字钟课程设计报告

电子时钟课程设计报告班级:文通 0741姓名:***学号:************多功能数字钟课程设计报告一、课程设计题目: 多功能数字钟二、实验目的:☆了解多功能数字电子钟的工作原理。

☆学习数字系统设计中自顶向下的设计方法。

☆加深利用EDA技术实现数字系统的理解。

三、课程设计任务和基本要求:☆设计任务采用中规模集成电路设计一台可以显示时、分、秒的数字钟。

☆基本要求1、能够正确的连线及下载。

2、能够完成以秒为最小及时单位的时钟设计。

3、设计完成后的时钟能够正常调整时、分、秒。

三、课程设计题目分析:☆设计要点●设计一个精确的秒脉冲信号产生电路●设计60进制、24进制计数器●设计译码显示电路●设计整点报时电路☆工作原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。

秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。

将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计数器,可以实现一天24h的累计。

译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来。

整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。

校时电路是来对“时、分、秒”显示数字进行校对调整。

其数字电子钟系统框图如下:四、课程设计的电路设计部分:☆秒、分、时计时器电路设计秒、分计数器为60进制计数器,小时计数器为24进制计数器。

实现这两种模数的计数器采用中规模集成计数器74LS90构成。

●60进制计数器由74162构成的60进制计数器,将一片74162设计成10进制加法计数器,另一片设置6进制加法计数器。

多功能数字电子钟设计报告

多功能数字电子钟设计报告

////////本科生课程设计《数字电子技术》课程设计设计题目:多功能数字电子钟设计专业:电子信息科学与技术班级: 2009级学生姓名: ///// 学号: 09214029 起止日期: 2011.4.25—2011.6.10 指导教师: ///////(副教授)2011年6月多功能数字电子钟设计/////(////// 电子信息工程学院海南三亚 572022)摘要:设计一个多功能数字电子钟,其中包括校时电路、显示电路、记数电路、译码电路等。

用555定时器产生1KHz的频率信号,再用74LS160制成两个十分频一个五分频的计数器,一个D触发器做成二分频计数器,最后产生1Hz的脉冲信号。

用四片74LS160计数器完成分秒的六十进制,同时时计数器应为二十四进制计数器,采用二片74LS160集成电路利用置零法来实现。

关键词:数字钟;计数器;课程设计Multi-function digital electric clock design//////( College of Electronics and Information Engineering , /////////University, Sanya Hainan 572022, China)Abstract: Design a multi-function digital electric clock, including when the circuit, show circuit, counting circuit, decoder circuit, etc. In 555 the timer produce 1 KHz frequency signals, then LS160 with 74 made two very frequency a five points of frequency, a D flip-flop counter made frequency counter, produce the dichotomy of 1 Hz ac pulse signal. With four pieces of 74 LS160 counter the clock finish six decimal, at the same time counter should be made when 24 into by using two counter, a 74 LS160 integrated circuits using zeros method to implement.Keywords: A digital clock; Counter; Course design1 设计要求a 准确及时,以数字形式显示时、分、秒的时间。

多功能电子钟课程设计

多功能电子钟课程设计

多功能电子钟课程设计一、课程目标知识目标:1. 学生能够理解电子钟的基本原理,掌握电子时钟各部分功能及相互关系。

2. 学生能够运用所学的电子技术知识,分析多功能电子时钟的电路图,并解释其工作原理。

3. 学生能够列出并解释电子时钟编程中的基本指令和程序结构。

技能目标:1. 学生能够设计简单的多功能电子时钟电路图,并展示其功能。

2. 学生能够通过动手实践,组装和调试多功能电子时钟,解决过程中遇到的技术问题。

3. 学生能够运用电子编程软件进行基础编程,实现电子时钟的基本功能,如时间显示、闹钟设定等。

情感态度价值观目标:1. 学生培养对电子科技的兴趣,激发创新意识和探索精神。

2. 学生通过合作完成任务,培养团队协作精神和沟通能力。

3. 学生在学习和实践过程中,增强解决问题的自信,培养严谨的科学态度和责任心。

4. 学生能够认识到电子技术在实际生活中的应用,意识到学习科学技术对个人和社会的意义。

本课程针对初中年级学生,考虑到学生的认知水平、动手能力和兴趣特点,设计了一系列实践性与理论性相结合的学习活动。

课程旨在通过多功能电子钟的制作,帮助学生将电子技术知识与实际应用相结合,提高其技术素养,同时培养其情感态度价值观。

通过具体学习成果的分解,教师可以针对性地进行教学设计和评估,确保课程目标的实现。

二、教学内容本章节教学内容主要包括以下三个方面:1. 电子时钟基础知识:- 电子时钟原理:讲解电子时钟的基本工作原理,如晶振、分频器、计数器等组成部分。

- 电路分析:分析电子时钟电路图,理解各部分功能及相互关系。

2. 电子时钟设计与制作:- 电路设计:学习如何设计简单的多功能电子时钟电路图,包括元件选型、电路连接等。

- 程序编写:掌握电子时钟编程的基本指令和程序结构,学会使用编程软件编写程序。

3. 实践操作:- 组装与调试:指导学生动手组装多功能电子时钟,并进行调试,解决过程中遇到的问题。

- 功能实现:实现电子时钟的基本功能,如时间显示、闹钟设定等。

多功能电子钟课程设计报告

多功能电子钟课程设计报告

课题:多功能电子钟设计一、实验目的及要求:1.利用定时器0的定时中断和动态显示,实现电子钟的走时及校正。

2.时间及日期显示实验台上的四个数码管分别显示时.分及显时的低位的右下脚的点闪烁表示秒的显示和月、日。

显示格式如下:⑴.时间显示,前两格显示时而第二格有下脚的小点作秒显示。

(闪烁状态)。

后两格显示分钟。

⑵.日期显示,前两格显示而与秒显示的相对点不闪烁停于常亮状态表示月与日的相隔点,后两格表示日的显示。

3.时间走时准确,每天误差不超过5秒。

4.仿照电子表的校时功能,校时(日期)修改时,被改位能闪烁显示以表示正被修改。

5.若高位为0,则高位可灭零显示。

二、实验效果1.常态以时间显示2.编程使该电子钟有4个键,分别为校正键,“十”键,切换键及显示键。

3.正常显示的状态下,“+”键和显示键无效,校正状态下切换键无效。

4.四个键的作用分别为:①校正键,在正常的状态下,第一次按下校正键表示校正月发功能,此时显示当日的日期及月显示闪烁。

第二次按下此键表示校日的功能,显示当前日期并且日闪烁显示。

第三次按下此键表示校时的功能,此时显示当前时间并且时闪烁显示。

第四次按下校正键表示校分功能,显示当前时间并且分闪烁显示。

②“+”键,功能为当前校正的内容在每按一下此键的情况下不进位的在该闪烁的内容上加“1”。

③确定键,使校正的内容生效并且返回正常显示时间的状态下。

④切换键,表示切换当前显示的模式,如:当前显示的是时间,则按一下切换键则切换到日期的显示状态,反之亦成立。

如在按下切换键的状态下,5秒后没任何操作则返回到正常显示时间的状态。

三.实验思路(原理)由以上分析可知要使日期及时间显示出来,首先想到的是要将它们存放起来,然后再将其送往数码管显示出来,这里又牵涉到一个问题,怎样将它们存放起来又要存到哪儿去?这就要我们给它们分配存储单元以备送往显示,例如准备四个存储单元30H~33H分别存储月、日、时和分,送往显示时要为其准备显示缓冲存储区,如3AH、3BH,月、时送3AH缓存,日、分送3BH缓存备送显示,接下来就是相关方式初始化为内容显示作准备,其中包括时间/日期(T/D)标志的置位,校正标志的清除及定时期/计数期工作方式及初始化。

多功能数字钟设计实验报告

多功能数字钟设计实验报告

多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。

然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。

本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。

二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。

为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。

2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。

我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。

3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。

用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。

4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。

通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。

5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。

三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。

2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。

3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。

包括时间显示、日期显示、闹钟功能、温湿度显示等。

4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。

可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。

5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。

例如,优化显示效果、增加功能扩展等。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

目录一、设计要求 (2)二、设计方案和论证 (2)(一)总设计原理图 (2)(二)设计方案的选择 (2)(3)硬件部分 (4)(4)软件部分 (8)三、设计总结 (26)一、设计要求1、准确计时,以数字形式显示时、分、秒的时间。

2、小时以24小时计时形式,分秒计时为60进位。

3、校正时间功能,即能随意设定走时时间。

4、准点报时,即闹钟功能,当走时到该时间,能以声或光的形式告警提示。

5、设计5V直流电源,系统时钟电路、复位电路。

6、跑表,测量时间。

7、修改闪烁显示。

二、设计方案和论证本次设计时钟电路,使用了ATC89C51单片机芯片控制电路,单片机控制电路简单且省去了很多复杂的线路,使得电路简明易懂,使用键盘键上的按键来调整时钟的时、分、秒,用一扬声器来进行定时提醒,同时使用汇编语言程序来控制整个时钟显示,使得编程变得更容易,这样通过四个模块:键盘、芯片、扬声器、LED 显示即可满足设计要求。

(一)总设计原理框图如下图所示:数据显示(二)设计方案的选择1.计时方案方案1:采用实时时钟芯片现在市场上有很多实时时钟集成电路,如DS1287、DS12887、DS1302等。

这些实时时钟芯片具备年、月、日、时、分、秒计时功能和多点定时功能,计时数据的更新每秒自动进行一次,不需要程序干预。

因此,在工业实时测控系统中多采用这一类专用芯片来实现实时时钟功能。

方案2:使用单片机内部的可编程定时器。

利用单片机内部的定时计数器进行中端定时,配合软件延时实现时、分、秒的计时。

该方案节省硬件成本,但程序设计较为复杂。

2.显示方案对于实时时钟而言,显示显然是另一个重要的环节。

通常LED显示有两种方式:动态显示和静态显示。

静态显示的优点是程序简单、显示亮度有保证、单片机CPU的开销小,节约CPU的工作时间。

但占有I/O口线多,每一个LED都要占有一个I/O口,硬件开销大,电路复杂。

需要几个LED就必须占有几个并行口,比较适用于LED 数量较少的场合。

当然当LED数量较多的时候,可以使用单片机的串行口通过移位寄存器的方式加以解决,但程序编写比较麻烦。

LED动态显示硬件连接简单,但动态扫描的显示方式需要占有CPU较多的时间,在单片机没有太多实时测控任务的情况下可以采用。

本系统需要采用6位LED数码管来分别显示时、分、秒,因数码管个数较多,故本系统选择动态显示方式。

(三)硬件部分1、STC89C51单片机介绍STC89C51单片机是由深圳宏晶公司代理销售的一款MCU,是由美国设计生产的一种低电压、高性能CMOS 8位单片机,片内含8kbytes的可反复写的FlashROM和128bytes的RAM,2个16位定时计数器[5]。

STC89C51单片机内部主要包括累加器ACC(有时也简称为A)、程序状态字PSW、地址指示器DPTR、只读存储器ROM、随机存取存储器RAM、寄存器、并行I/O接口P0~P3、定时器/计数器、串行I/O接口以及定时控制逻辑电路等。

这些部件通过内部总线联接起来,构成一个完整的微型计算机。

其管脚图如图所示。

STC89C51单片机管脚结构图VCC:电源。

GND:接地。

P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。

当P1口的管脚第一次写1时,被定义为高阻输入。

P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。

在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。

P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。

P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。

在FLASH编程和校验时,P1口作为第八位地址接收。

P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。

并因此作为输入时,P2口的管脚被外部拉低,将输出电流。

这是由于内部上拉的缘故。

P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。

在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。

P2口在FLASH编程和校验时接收高八位地址信号和控制信号。

P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。

当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。

作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。

P3口也可作为AT89C51的一些特殊功能口,如下表所示:口管脚备选功能P3.0 RXD(串行输入口)P3.1 TXD(串行输出口)P3.2 /INT0(外部中断0)P3.3 /INT1(外部中断1)P3.4 T0(记时器0外部输入)P3.5 T1(记时器1外部输入)P3.6 /WR(外部数据存储器写选通)P3.7 /RD(外部数据存储器读选通)P3口同时为闪烁编程和编程校验接收一些控制信号。

RST:复位输入。

当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。

ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。

在FLASH编程期间,此引脚用于输入编程脉冲。

在平时,ALE 端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。

因此它可用作对外部输出的脉冲或用于定时目的。

然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。

如想禁止ALE的输出可在SFR8EH地址上置0。

此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。

另外,该引脚被略微拉高。

如果微处理器在外部执行状态ALE禁止,置位无效。

PSEN:外部程序存储器的选通信号。

在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。

但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。

EA/VPP:当/EA保持低电平时,则在此期间外部程序存储(0000H-FFFFH),不管是否有内部程序存储器。

注意加密方式1时, /EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。

在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。

2、上电按钮复位电路本设计采用上电按钮复位电路:首先经过上电复位,当按下按键时,RST直接与VCC相连,为高电平形成复位,同时电解电容被电路放电;按键松开时,VCC对电容充电,充电电流在电阻上,RST依然为高电平,仍然是复位,充电完成后,电容相当于开路,RST为低电平,单片机芯片正常工作。

其中电阻R2决定了电容充电的时间,R2越大则充电时间长,复位信号从VCC回落到0V的时间也长。

3、晶振电路本设计晶振电路采用12M的晶振。

晶振的作用是给单片机正常工作提供稳定的时钟信号。

单片机的晶振并不是只能用12M,只要不超过20M就行,在准许的范围内,晶振越大,单片机运行越快,还有用12M的就是好算时间,因为一个机器周期为1/12时钟周期,所以这样用12M的话,一个时钟周期为12us,那么定时器计一次数就是1us了,电容范围在20-40pF之间,这里连接的是30pF的电容。

机器周期=10*晶振周期=12*系统时钟周期4.下载端口设计用到的STC89C52单片机芯片的ISP下载线是通过单片机的TXD,RXD引脚把程序烧进去的。

管脚TXD和RXD用于异步串行通信。

其实STC89C52单片机的ISP下载线就是一个max232芯片连接STC和计算机的串行通信口。

计算机把程序从九针串口送到max232芯片,电平转换后送进单片机的串行口,也就是TXD和RXD。

然后单片机的串行模块把数据送到程序区。

5、显示电路就时钟而言,通常可采用液晶显示或数码管显示。

由于一般的段式液晶屏,需要专门的驱动电路,而且液晶显示作为一种被动显示,可视性相对较差;对于具有驱动电路和微处理器接口的液晶显示模块(字符或点阵),一般多采用并行接口,对微处理器的接口要求较高,占用资源多。

另外,89C2051本身无专门的液晶驱动接口,因此,本时钟采用数码管显示方式。

数码管作为一种主动显示器件,具有亮度高、价格便宜等优点,而且市场上也有专门的时钟显示组合数码管。

对于实时时钟而言,显示显然是另一个重要的环节。

通常LED显示有两种方式:动态显示和静态显示。

静态显示的优点是程序简单、显示亮度有保证、单片机CPU的开销小,节约CPU的工作时间。

但占有I/O口线多,每一个LED都要占有一个I/O口,硬件开销大,电路复杂。

需要几个LED就必须占有几个并行口,比较适用于LED 数量较少的场合。

当然当LED数量较多的时候,可以使用单片机的串行口通过移位寄存器的方式加以解决,但程序编写比较麻烦。

LED动态显示硬件连接简单,但动态扫描的显示方式需要占有CPU较多的时间,在单片机没有太多实时测控任务的情况下可以采用。

本系统需要采用6位LED数码管来分别显示时、分、秒,因数码管个数较多,故本系统选择动态显示方式。

6、时钟显示校正电路本设计利用按键开关来校正时钟显示的数字。

当按钮按下时,将在相应的端口输入一个低电平,通过相应的程序来改变时钟显示。

其中S1按键开关用来选择要修改的数字;S2按键用来增加所选数字的数值;S3按键用来减少所选数字的数值。

7、蜂鸣器电路电路接法:三极管选定PNP型,基极B连接5V电压,发射极E连接一个1K左右的电阻后接I/O口,集电极C连接蜂鸣器后接地。

单片机在复位后的个I/O口是高电平,此时三极管是截止的,编写程序使选定的I/O为低电平,此时三极管导通,导通后蜂鸣器与电源正极连通,构成一个工作回路,从而发出滴滴的响声。

其中电阻R1在电路里起分压限流的作用,PNP三极管起到模拟开关的作用。

8、外接电源电路外接电源电路用于连接外部5V电源与电子时钟电路,通过自锁开关控制电路的导通与断开,当开关闭合时,电路导通,外部电源给电路正常供电,电子时钟正常工作。

当开关断开时,电路停止工作。

9、总电路原理图(五)软件部分根据上述电子时钟的工作流程,软件设计可分为以下几个功能模块:(1)主程序模块。

主程序主要用于系统初始化:设置计时缓冲区的位置及初值,设置8155的工作方式、定时器的工作方式和计数初值等参数。

主程序流程如下图所示。

开始定义堆栈区8155、T0、数据缓冲区、标志位初始化调用键盘扫描程序否是C/R键?是地址指针指向计时缓冲区调用时间设置程序主程序流程图(2)计时模块。

即定时器0中断子程序,完成刷新计时缓冲区的功能。

系统使用6MHz的晶振,假设定时器0工作在方式1,则定时器的最大定时时间为65.536ms,这个值远远小于1s。

相关文档
最新文档