病房呼叫系统的multisim仿真

合集下载

病房呼叫系统(数字逻辑电路)

病房呼叫系统(数字逻辑电路)

引言本设计的主要目的是实现一个当医院发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。

用于医院病房需要呼叫具有优先级别的呼叫系统。

当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。

另外在产生信号的同时系统会显示呼叫病人的病房编号。

这样医护人员可以根据呼叫信号的优先级别及时对每一位呼叫病人进行救治。

其中,医院可以对病人的病情的进行编号,病情最严重者具有最高级别的优先权,依次降低。

此呼叫系统的使用能让医院出现紧急状况时临危不乱,不仅使医护人员能够对病人的病情有一定的了解,还能对病人的突发情况进行及时有效治疗,让救护工作紧张有序的进行。

同时,医院可以在有本系统的情况下,更加合理有效的安排值班的医护人员,为医院节省大量人力、物力、财力。

本设计的指导思想是设计一个当病人紧急呼叫时,产生声光提示,并显示病人编号;然后根据病人病情进行优先级别设置,当有多人呼叫时,病情严重优先;医护人员处理完当前最高级别的呼叫后,清除已处理的最高级别的呼叫信号,系统按优先级别显示其他呼叫病人的编号。

由呼叫信号的输入,74LS148优先编码,由74LS48译码显示和逻辑控制清除几部分构成,其核心在74LS148优先编码器。

本题目选择的目的是为了更好的为病人进行护理工作,对病情严重优先处理。

本设计主要是采用数字、模拟电路的一些基础原件来完成,结构简单,安装方便,价格低廉来完成病房紧急呼叫系统,当有多个呼叫时,病情严重的优先。

此设计能让普通的乡村医院使用,价格便宜,节约人力、财力资源,能更好的体现医院的本质---救死扶伤,为人民大众服务。

1 课程设计总思路根据数字电路知识,利用优先编码器、显示译码器和555,74LS48,74LS30等电路元件实现各项1.1 设计题目病房呼叫系统。

1.2 设计要求(1).用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低;(2).用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);(3).凡有呼叫发出5秒的呼叫声;(4).对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。

病房呼叫系统电路设计

病房呼叫系统电路设计

电子技术课程设计报告书课题名称 病床呼叫系统电路设计姓 名 ***** 学 号 ******* 院、系、部 ********* 专 业 电子信息工程指导教师**********年*月 *日※※※※※※※※※ ※※ ※※ ※※ ※※※※※※※※※****级电子信息工程专业电子技术课程设计病房呼叫系统电路设计1设计目的(1)熟悉模拟电路的应用与集成电路的引脚排列。

(2)掌握常用芯片的逻辑功能及使用方法。

(3)熟悉电路仿真软件Multisim 11.0使用。

(4)了解优先编码器、555定时器的工作原理,以及芯片的组合。

(5)熟悉病床呼叫系统的设计及其应用。

2设计思路(1)设计优先编码器的电路。

(2)设计555定时器的电路。

3设计过程3.1方案论证图3.1 总体方框图3.2设计要求(1)设置开关K1-K5为病房呼叫开关。

(2)用LED指示灯显示病房的呼叫。

(3)当多个病房同时呼叫时,护士值班室中显示优先级别最高的病房号且扬声器发出警报5秒的呼叫声,LED灯亮5秒。

3.3设计原理(1)由于病房呼叫拥有优先级的限制,所以呼叫时应区分呼叫优先级,这时就会用到优先编码器对呼叫进行优先级的编码,确保多个病房同时呼叫时,优先级最高的病房首先得到相应。

(2)其次,当病房发出呼叫请求时,为了方便医护人员及时对病人进行救治,电路应该拥有显示病房号的功能,这就需要用到数字显示器对相应的病房呼叫做出显示输出。

(3)再次,为了提高呼叫响应成功率,电路还应拥有音频提示功能,即当有呼叫请求发出时要发出警报声。

3.4单元模块设计 1.总电路I n p u t图3.2 总电路图其工作原理如下:接通电源,当病房发出呼叫请求时,病人按下开关,电路中产生一个相应信号并在护士值班室中的数码管上显示出来该病人的床位,同时定时器上的扬声器发出5秒的呼叫声,当多个病人进行同时呼叫时,这时通过74LS147D 优先编码器把优先级别最高的信号输出在数码管上,扬声器发出5秒的呼叫声。

基于multisim的病房呼叫器设计仿真

基于multisim的病房呼叫器设计仿真

基于multisim的病房呼叫器设计仿真作者:王鑫荣来源:《中国科技博览》2013年第23期摘要:在电子技术课程改革中,以项目为载体,以学生为主体,将现代信息技术与课程结合,建立了基于Multisim11的病房呼叫器电路仿真模型,分析了仿真结果。

仿真和分析表明设计方案合理。

教学实践证明,病房呼叫器电路具有典型代表性,通过实施项目教学法,激发了学生的学习兴趣和学习热情,最大程度的发挥学生的主观能动性,达到了提高教学质量的目的。

关键词:Multisim;仿真;病房呼叫器;电子技术中图分类号:TP23 文献标识码:A 文章编号:1009-914X(2013)23-405-01引言Multisim最初是由加拿大IIT公司推出的电子线路仿真软件。

从Multisim2001开始到后来的Multisim7和Multisim8止;Multisim9到目前的Multisim11版本改由美国国家仪器公司(NI 公司)推出。

Multisim可以对电工电路、模拟电路、数字电路、单片机电路等电路进行仿真,克服了传统电子产品的设计受实验室客观条件限制的局限性,用虚拟的元件搭建各种电路,用虚拟的仪表进行各种参数和性能指标的测试,因此降低了实验成本,节省实验时间,提高了实验效率。

在电子工程设计和电子类教学领域中得到广泛应用。

《电子技术》是电子、信息专业的一门非常重要的必修专业基础课,其理论性和实践性都很强。

它讲述的是电子技术中最基本的理论和最常用的电子线路。

它担负着普及电子技术基础知识和为后续专业课程打基础的双重任务。

其涉及内容多而又抽象,更新发展快。

学生对该课程的学习态度和质量将直接影响到学生对后续课程的学习以及将来在相关领域思维素质的形成和潜能的发挥。

本文通过实施项目教学,让学生主动参与到教学中来,以激发他们的学习兴趣和学习热情。

以病房呼叫器为例,借助Multisim仿真软件辅助电路设计,让学生确定设计方案,通过软件仿真进行测试分析,调试、修改和完善自己设计的电路,在实验平台上搭接具体电路进行实验,验证成功后,再做出实际的硬件电路,从而激发他们的创新意识。

病房呼叫系统设计与仿真设计

病房呼叫系统设计与仿真设计

病房呼叫系统一)、设计题目:病房呼叫系统二)、实验目的:1、用8个开关模拟8个病房的呼叫输入信号,1号优先级最高;1~8优先级依次降低;2、用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示);用四个数码管显示呼叫等待时间(mm ss)。

3、凡有呼叫发出5秒的提示声;呼叫3分钟未处理输出报警信号。

发挥部分:对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。

三)、设计思路:1、整体设计思路:根据设计要求,我们将设计分为几个模块来设计,分别为:锁存模块、选优模块(对病房选优)、选优模块2(对复位选优)、计时模块、显示模块、蜂鸣模块。

2、整体设计流程:(1)、锁存器:对病房呼叫的信号进行存储并处理信号,需要用一个对所有的呼叫信号进行存储的锁存器。

(2)、数据选择器(选优):对发出呼叫的病房进行优先选择,选择优先级最高的一个病房号,病房号从1到8优先级一次降低。

(3)、数据选择器2(选优2):对时间控制信号进优先选择,这样就使得数码管显示器显示的时间为当前优先级最高的病房所呼叫的时间。

(4)、计时器:病房呼叫系统中要求凡有呼叫发出,呼叫3分种未处理输出报警信号,即要求一个模块对呼叫时间计时,因此设计一个分秒计时器,对呼叫时间计时。

实验箱中时钟频率为20MHZ,故计时部分需加入分频,使之为1s。

(5)、显示器:用一个数码管显示呼叫信号的号码,用四个数码管显示呼叫等待时间(mm ss),设计一七段数码显示器,数码片选为低电平有效,扫描信号定为1KHZ。

二、课程设计过程一)、模块分配:锁存模块:选优模块、对复位选优模块:计时模块:显示模块:连接模块、蜂鸣器模块二)、模块具体设计1.锁存模块:由于有8个病房所以设计了8个输入信号且高电平时为信号输入,另外考虑到时间模块显示的是当前等待时间,所以时间显示当前等待时间为最好,所以在锁存模块,把复位加到锁存模块,高电平的时候表示复位不工作,低电平的时候表示复位。

multisim仿真实验

multisim仿真实验

实验五
一、实验目的
1. 掌握常见数值比较器及编码器芯片的逻辑功能及测试方法。

2. 掌握与数值比较器芯片及编码器相关组合逻辑电路设计方法。

二、实验原理
数值比较器功能:完成两个数值大小的比较。

数值比较器分类:
1.1位数值比较器
2.多位数值比较器
编码器功能:将输入的每一个高低电平编成
对应的二进制代码。

常见编码器芯片:74LS148
常见比较器芯片:74LS185
三、实验内容
1. 病房呼叫系统
医院有一二三四号病房,每个病房设置有呼叫器,护士值班室设有数码管显示单元。

设计要求:
1.当病房呼叫按钮按下时,值班室数码管显示对应的病房号。

2.病房呼叫优先级为一-二-三-四,从高到低。

试验电路图:
实验结果:
2.三个四位二进制数排列
利用两个74LS85完成三个四位二进制数的排列,利用数码管显示最大值。

实验电路图:
实验结果:。

multisim医院紧急报警系统设计报告

multisim医院紧急报警系统设计报告

目录一、设计任务…………….………………………………(1).设计要求(2).设计思路(3).设计框图二、设计方案………………………………………………(1).优先编码器的设计(2).信号锁存器的设计(3).译码器的设计(4).清除逻辑线路设计(5).实际电路图三、存在问题及改进…………………………………………四、元器件……………………………………………………一、设计任务(1).设计医院病人紧急呼叫系统,要求如下:1. 当病人紧急呼叫时,产生声、光提示,并显示病人编号。

2. 根据病人病情设置优先级别。

当有多人呼叫时,病情严重者优先。

3. 待医护人员处理完后,清除该呼叫的触发器信号,转而对其他呼叫输入进行判别处理。

(2).设计思路:1.系统由呼叫信号锁存、优先编码、二进制译码、显示及逻辑控制清除等几部分组成。

2.电路核心部分为优先编码器。

当有信号输入时,用触发器锁存相应的按键信号,由优先编码器完成信号优先级的判别,并提供相关的显示输出编码。

3.二进制译码电路译出当前最高级别的呼叫,待医护人员处理完后,清除该呼叫的触发器信号,转而对其他呼叫输入进行判别处理。

4.信号的锁存及清除可采用D触发器实现。

8路优先编码器型号为74LS148D、3/8二进制译码器可选74LS138D,D触发器可选74LS74D。

(3).设计框图:呼叫信号二、设计方案(1).优先编码器的设计电路核心部分为优先编码器。

当有信号输入时,用触发器锁存相应的按键信号,由优先编码器完成信号优先级的判别,这个可以用8路优先编码器74LS148D 实现。

U1874LS148DA09A17A26GS 14D313D41D52D212D111D010D74D63EI5EO15由表中可以看出,在E1=0电路正常工作状态下, 0~7七个输入端,其中7的优先权最高,0的优先权最低,输入低电平有编码作用,当7=0时,无论其它输入端有无信号输入,输出端只给出7的编码,其余的类推。

基于vhdl和multisim的病房呼叫系统数电课设

基于vhdl和multisim的病房呼叫系统数电课设

基于vhdl和multisim的病房呼叫系统数电课设病房呼叫系统是一种用于病房内患者呼叫护士的设备。

本文将介绍基于VHDL 和Multisim的病房呼叫系统的数字电路设计。

1. 系统概述病房呼叫系统是一种用于病房内患者呼叫护士的设备。

系统由患者端和护士端组成。

患者端包括呼叫按钮和显示屏,护士端包括显示屏和报警器。

当患者按下呼叫按钮时,护士端的显示屏将显示患者的呼叫信息,并触发报警器发出声音。

2. 系统设计系统的设计基于VHDL和Multisim。

VHDL用于编写数字电路的行为描述,Multisim用于模拟和验证电路的功能。

2.1 患者端设计患者端包括一个呼叫按钮和一个显示屏。

呼叫按钮用于患者发起呼叫请求,显示屏用于显示患者的呼叫信息。

2.1.1 呼叫按钮设计呼叫按钮是一个触发器,当患者按下按钮时,触发器输出逻辑高电平。

我们可以使用VHDL编写一个简单的触发器模块,如下所示:```vhdlentity CallButton isport (clk : in std_logic;button : in std_logic;call_req : out std_logic);end CallButton;architecture Behavioral of CallButton isbeginprocess(clk)beginif rising_edge(clk) thenif button = '1' thencall_req <= '1';elsecall_req <= '0';end if;end if;end process;end Behavioral;```2.1.2 显示屏设计显示屏用于显示患者的呼叫信息。

我们可以使用VHDL编写一个显示屏模块,如下所示:```vhdlentity Display isport (clk : in std_logic;call_req : in std_logic;display_msg : out std_logic_vector(7 downto 0) );end Display;architecture Behavioral of Display issignal counter : integer range 0 to 9 := 0;beginprocess(clk)beginif rising_edge(clk) thenif call_req = '1' thencounter <= 9;elsif counter > 0 thencounter <= counter - 1;end if;end if;end process;process(counter)begincase counter iswhen 0 =>display_msg <= "00000001"; -- 显示“呼叫”when 1 =>display_msg <= "00000010"; -- 显示“请稍候”when 2 =>display_msg <= "00000100"; -- 显示“正在处理”when 3 =>display_msg <= "00001000"; -- 显示“护士已派出”when others =>display_msg <= "00000000"; -- 不显示任何信息end case;end process;end Behavioral;```2.2 护士端设计护士端包括一个显示屏和一个报警器。

数字电路课程设计病房呼叫系统

数字电路课程设计病房呼叫系统

课程设计报告设计题目:病房呼叫系统摘要本设计完成的是病房呼叫系统的功能。

该设计采用主从结构,监控机构放置在医生值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。

呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,提示闹铃响起,向值班室呼叫,并点亮相应床位的指示灯。

采用模块设计思想,分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。

这些模块共同工作完成本电路的功能实现。

本设计分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。

这些模块共同工作完成本电路的功能实现。

其中运用了8线—3线优先编码器74LS148来实现优先选择模块主要功能,其中运用自己设计的小规模逻辑门电路,7段字形译码器74LS47D和共阴极七段数码管来实现译码显示模块主要功能,运用555定时器来实现呼叫模块。

本论文阐述了如何利用数字电路的思想,以及应用MULTISIM7仿真软件设计病房呼叫系统,测试,并实现其功能。

关键词:病房呼叫系统,主从结构,数字电路目录摘要 (1)第1章概述 (3)第2章课程设计任务及要求 (4)2.1设计任务 (4)2.2设计要求 (4)第3章系统设计 (4)3.1方案论证 (4)3.2系统设计 (5)3.2.1结构框图及说明 (5)3.2.2系统原理图及工作原理 (7)3.3单元电路设计 (9)3.3.1单元电路工作原理 (9)3.3.2元件参数选择 (16)第4章软件仿真 (17)4.2仿真过程 (18)4.3仿真结果 (19)第5章安装调试 (22)5.1安装调试过程 (22)5.2 故障分析 (23)第6章结论 (24)6.1对于病房呼叫系统的仿真设计 (24)6.2对于数字式抢答器的安装调试 (24)第7章使用设备仪器清单 (25)参考文献 (25)收获、体会和建议 (25)第1章概述病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。

基于Multisim10.0的病房呼叫系统的设计

基于Multisim10.0的病房呼叫系统的设计

基于Multisim10.0的病房呼叫系统的设计摘要随着计算机技术飞速发展,电路设计可以通过计算机辅助分析和仿真技术来完成。

计算机仿真的应用,代替了大包大揽的试验电路,大大减轻验证阶段的工作量;其强大的实时交互性、信息的集成性和生动直观性,为电子专业教学创设了良好的平台,并能保存仿真中产生的各种数据,为整机检测提供参考数据,还可保存大量的单元电路、元器件的模型参数。

采用仿真软件能满足整个设计及验证过程的自动化。

Multisim适用于板级的模拟/数字电路板的设计工作。

本文在Multisim软件环境下设计并分析一种病房呼叫系统。

AbstractAlo ng with the computer technology’s rapid developing, circuit design can be completed with the help of computer aided analysis and simulation technology. Instead of the test circuit mode, the application of computer simulation technology greatly reduce the workload of authentication phase ; and its powerful real-time interactive, information compositive and vivid visual create a good platform for electronic professional teaching. Besides, it can save simulation to produce a variety of data as well as provide the reference data for the detection and save a lot of unit circuit, components of the parameters for the model. Using simulation software to meet the whole design and validation process automation.Multisim apply to board level analog/digital circuit board design work. This paper in Multisim software environment design and analysis of a ward call system.目录摘要 0Abstract 01、Multisim10.0系统简介 (2)1.1什么是Multisim (2)1.2 Multisim的特点 (2)2、病房呼叫系统的设计 (3)2.1设计要求 (3)2.2设计原理分析 (3)3、总结体会 (6)参考文献 (8)1、Multisim10.0系统简介1.1什么是MultisimMultisim是美国国家仪器(NI)有限公司[1]推出的以Windows为基础的仿真工具,是一个专门用于电子线路仿真与设计的EDA 工具软件。

Multisim课程设计报告

Multisim课程设计报告

Multisim课程设计报告课程名称:multisim电路仿真设计题目:病房呼叫系统设计学号:王后影110914033专业班级:11电信本(一)班指导老师:宇安病房呼叫系统的设计一.实验目的1.掌握数字电路课程所学的理论知识以及数字电子技术在生活中的应用。

2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。

3.进一步深化对电子技术的了解,强化实际动手操作能力以及发现问题解决问题的方法。

4.培养认真严谨的工作作风和实事的工作态度。

5.数电课程实验是大学中为我们提供的一次动手实践的机会,增强实际动手操作与研发的能力二.实验原理要求当一号病房的按钮按下时,无论其他病室的按钮是否按下,护士值班室的数码显示“1”,即“1”号病室的优先级别最高,其他病室的级别依次递减,7号病室级别最低,当7个病房中有若干个请求呼叫开关合上时,护士值班室的数码管所显示的即为当前优先级别最高的病室呼叫,同时在有呼叫的病房门口的指示灯闪烁。

待护士按优先级处理完后,将该病房的呼叫开关打开,再去处理下一个相对最高优先级的病房的事务。

全部处理完毕后,即没有病室呼叫,此时值班室的数码管显示“0”。

电路设计流程图本例在设计中采用了8/3线优先编码器74LS148,74LS148有8个数据端(0~7),3个数据输出端(A0~A1),1个使能输入端(EI,低电平有效),两个输出端(GS,E0)。

数据输出端A~C根据输入端的选通变化,分别输出000~111这0~7二进制码,经逻辑组合电路与74LS47D BCD-七段译码器/驱动器的数据输入端(A~C)相连,最终实现设计要求的电路功能,电路如图所示。

电路中与门74LS08DD的输出端(3、6、8)与74LS147D BCD-七段译码器/驱动器的数据输入端的数据端(A、B、C)连接。

此例仿真可在Multisim的主界面下,启动仿真开关即可进行电路的仿真。

K1~K7为病房呼叫开关,在其下方的Key=1,...Key=7分别表示按下键盘1~7数字键,即可控制相应开关的通道。

病房呼叫系统带计时器

病房呼叫系统带计时器

摘要本设计完成的是病房呼叫系统的功能。

监控机构放置在医生值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示床号。

采用模块设计思想,本系统分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示器,以及呼叫计时模块。

这些模块共同工作实现装置功能,其中用到8线—3线优先编码器74LS148来实现优先选择模块的主要功能,运用小规模逻辑门电路,7段字形译码器74LS47D和共阴极气短数码来实现译码显示模块主要功能,蜂鸣器来实现呼叫模块。

本说明阐述了如何利用数字电路的思想,以及应用multisim12仿真软件设计病房呼叫系统,测试并实现其功能。

关键词:病房呼叫系统,主从结构,数字电路目录第一章课程设计任务及要求 - - - - - - - - - - - - - - - - - - 11.1设计任务 - - - - - - - - - - - - - - - - - - - - - - - 11.2设计要求 - - - - - - - - - - - - - - - - - - - - - - - 11.3设计目的 - - - - - - - - - - - - - - - - - - - - - - - 1第二章系统设计 - - - - - - - - - - - - - - - - - - - - - - 12.1系统原理图及工作原理 - - - - - - - - - - - - - - - 12.2单元电路分析设计 - - - - - - - - - - - - - - - - - - 22.2.1单元电路工作原理 - - - - - - - - - - - - - - - - - - 2第三章软件仿真 - - - - - - - - - - - - - - - - - - - - - - 43.1仿真结果分析 - - - - - - - - - - - - - - - - - - - - - - 4第四章结论- - - - - - - - - - - - - - - - - - - - - - - - - - 6 第五章使用设备仪器清单 - - - - - - - - - - - - - - - - - - 6心得体会 - - - - - - - - - - - - - - - - - - - - - - - - - - 7 参考文献 - - - - - - - - - - - - - - - - - - - - - - - - - - 7第一章课程设计任务及要求1.1设计任务设计一个病房呼叫系统1.2设计要求医院有号病室一、二、三、四,每个房间装有呼叫按钮,一号病室的呼叫优先权最高,四号病室最低。

基于multisim的病床呼叫电路仿真设计与研究

基于multisim的病床呼叫电路仿真设计与研究

基于multisim的病床呼叫电路仿真设计与研究
一、引言
在医院病房中,病人需要随时呼叫护士或医生,因此病床呼叫电路是
必不可少的。

本文将介绍基于multisim的病床呼叫电路仿真设计与研究。

二、病床呼叫电路的组成
1.按钮:放置在患者手边,用于患者发出呼叫信号。

2.指示灯:放置在护士站台上,用于显示哪个床位发出了呼叫信号。

3.报警器:放置在护士站台上,用于发出声音提示护士前往相应的床位。

三、基于multisim的病床呼叫电路仿真设计
1.电源模块:使用直流电源模块为整个电路提供稳定的电源。

2.按钮模块:使用开关模块作为按钮,并将其连接到一个555定时器
模块中。

当按钮被按下时,555定时器会输出一个高电平信号。

3.指示灯模块:使用LED灯作为指示灯,并将其连接到一个74HC595移位寄存器模块中。

当555定时器输出高电平时,74HC595移位寄
存器会将对应的LED灯点亮。

4.报警器模块:使用蜂鸣器作为报警器,并将其连接到一个LM358运算放大器模块中。

当555定时器输出高电平时,LM358运算放大器会输出一个高电平信号,使蜂鸣器发出声音。

四、病床呼叫电路仿真结果分析
在multisim中进行仿真后,可以得到以下结果:
1.当按钮被按下时,指示灯会点亮,并且报警器会发出声音。

2.当按钮被松开时,指示灯和报警器都会停止工作。

五、总结
本文介绍了基于multisim的病床呼叫电路的设计和仿真。

通过该电路的设计和仿真,可以实现病人随时呼叫护士或医生的目的,从而提高了医院病房管理的效率。

_病房呼叫系统的multisim仿真

_病房呼叫系统的multisim仿真

_病房呼叫系统的multisim仿真_病房呼叫系统的multisim仿真技能训练报告学生姓名学号班级专业电子信息科学与技术题目病房呼叫系统的设计指导教师(姓名)(职称)2010 年X 月一、设计指标本设计是实现一个具有优先级的病房呼叫系统。

通过优先编码器对模拟病房编码,再通过译码器译出模拟的最高级病房号,当有病房呼叫时信号通过译码器和逻辑门触发,值班室的数码管即显示相对最高优先级别的病房号,而且峰鸣器发声,从而实现呼叫作用。

当相对优先级高的病房事情处理结束,由护士将该病房呼叫开关打开,再去处理下一个相对最高优先级的病房的事务。

全部处理完毕后,即没有病室呼叫,此时值班室的数码管显示“0”。

二、设计方框图病房多谐振荡优先显示模块复位按钮呼叫显示模块呼叫模块三、元器件介绍1 、8-3优先编码器74LS148 D0~D7为输入信号,A2、A1、A0为三位二进制编码输出信号,IE为使能输入端,OE为是能输出端,GS为片优先编码输出。

由真值表可知74LS148的输出逻辑方程为:A2=(I4+I5+I6+I7)IE A1=(I2I4I5+I3I4I5+I6+I7)IE A0=(I1I2I4I6+I3I4I6+I5I6+I7)IE 使能输出端OE的逻辑方程为:OE=I0•••I1•I2•I3•I4•I5•I6•I7 扩展片优先编码输出端GS的逻辑方程为:GS=(I0+I1+I2+I3+I4+I5+I6+I7)•OE 图1 74LS148管脚排列图表1 74LS148 真值表由真值表,在=0电路正常工作状态下,允许~当中同时有几个输入端为低电平,即有编码输入信号, 的优先权最高的优先权最低。

当=0时,无论其他输入端有无输入信号(表中以×表示),输出端只给出的编码。

2、74LS47译码器74LS47是输出低电平有效的七段字形译码器, 该电路是由与非门、输入缓冲器和7 个与或非门组成的BCD-7 段译码器/驱动器。

基于multisim的病床呼叫电路仿真设计与研究

基于multisim的病床呼叫电路仿真设计与研究

基于multisim的病床呼叫电路仿真设计与研究##引言随着医疗技术的不断发展,病房内的设备也不断更新和改进。

其中,病床呼叫系统对病人的护理起到了至关重要的作用。

为了提高病床呼叫系统的效率和可靠性,本文将利用multisim软件进行病床呼叫电路的仿真设计与研究。

电路设计原理病床呼叫电路主要包括三个部分:传感器、信号处理电路和呼叫设备。

传感器用于检测病人的需求信号,如按下按钮或拉动拉杆等;信号处理电路负责对传感器信号进行放大和滤波等处理;呼叫设备则负责向医护人员发送呼叫信号。

仿真设计步骤1. 传感器设计传感器是病床呼叫电路的输入端,负责检测病人的需求信号。

常用的传感器包括按钮传感器和拉绳传感器等。

我们将以按钮传感器为例进行仿真设计。

2. 信号处理电路设计信号处理电路负责对传感器信号进行放大和滤波处理,以保证呼叫信号的稳定性和可靠性。

在multisim软件中,我们可以利用模拟电子元件进行信号处理电路的设计。

3. 呼叫设备设计呼叫设备负责向医护人员发送呼叫信号,常见的呼叫设备包括蜂鸣器和LED指示灯等。

我们需要设计合适的电路来实现呼叫设备的功能。

4. 整体电路设计与调试将传感器、信号处理电路和呼叫设备连接起来,形成完整的病床呼叫电路。

在multisim软件中,我们可以利用连接线将各个电路模块进行连接,然后进行调试和优化。

仿真结果与分析经过仿真调试,我们得到了稳定可靠的病床呼叫电路。

通过按钮传感器的触发,电路能够快速响应并发送呼叫信号,同时呼叫设备能够及时提醒医护人员。

优化改进在实际应用中,我们可以根据具体需求进行电路的优化改进。

例如,可以添加延时功能,以避免误触发;还可以增加无线传输模块,将呼叫信号发送到医护人员的移动设备上。

结论本文基于multisim软件进行了病床呼叫电路的仿真设计与研究。

通过设计合理的传感器、信号处理电路和呼叫设备,我们成功地实现了稳定可靠的病床呼叫系统。

同时,我们也提出了一些优化改进的思路,以进一步提高系统的性能和功能。

基于vhdl和multisim的病房呼叫系统数电课设

基于vhdl和multisim的病房呼叫系统数电课设

基于vhdl和multisim的病房呼叫系统数电课设病房呼叫系统在医疗机构中起着至关重要的作用,它能够匡助患者及时呼叫医护人员,提供及时的医疗服务。

本文将介绍一种基于VHDL和Multisim的病房呼叫系统的设计方案。

1. 系统概述病房呼叫系统由多个呼叫器和一个中央控制器组成。

每一个呼叫器都可以被患者使用,通过按下按钮来呼叫医护人员。

中央控制器接收呼叫信号并显示患者所在的房间号码,同时发出声音和光信号以通知医护人员。

2. 系统硬件设计2.1 呼叫器每一个呼叫器由一个按钮、一个显示屏和一个呼叫信号发生器组成。

按钮用于患者按下呼叫,显示屏用于显示房间号码,呼叫信号发生器用于产生呼叫信号。

呼叫信号发生器可以采用VHDL语言进行设计,通过编程实现呼叫信号的产生。

2.2 中央控制器中央控制器由一个显示屏、一个声音发生器和一个光信号发生器组成。

显示屏用于显示患者所在的房间号码,声音发生器用于发出声音信号,光信号发生器用于发出光信号。

中央控制器可以采用Multisim软件进行设计,通过电路连接和编程实现功能。

3. 系统软件设计3.1 VHDL编程使用VHDL语言编写呼叫信号发生器的程序。

程序应包括以下功能:- 接收按钮信号,判断是否有呼叫请求。

- 如果有呼叫请求,产生呼叫信号。

- 将房间号码显示在显示屏上。

3.2 Multisim编程使用Multisim软件设计中央控制器的电路连接和编程。

程序应包括以下功能:- 接收呼叫信号,判断呼叫的房间号码。

- 将房间号码显示在显示屏上。

- 发出声音信号和光信号,通知医护人员。

4. 系统测试完成硬件设计和软件设计后,需要对系统进行测试以确保其正常工作。

测试应包括以下方面:- 摹拟按钮按下,检查呼叫信号的产生和显示屏的显示是否正确。

- 摹拟中央控制器接收呼叫信号,检查显示屏、声音发生器和光信号发生器是否正常工作。

5. 系统优化在测试过程中,如果发现系统存在问题或者不足之处,可以对系统进行优化。

病房紧急呼叫系统

病房紧急呼叫系统

东莞理工学院电子工程学院数字电子技术课程设计实验报告医院病人紧急呼叫系统09电子信息工程(机器人)1班胡亚伟学号:200941308103实验板编号:0912011/6/25本文详细叙述了本人自行设计的医院病人紧急呼叫系统在原理、结构、连接以及功能等方面的特性。

目录一、选题意义 (1)二、整体设计思想 (4)三、设计过程 (1)(一)Multisim仿真软件介绍 (2)(二)模块化设计 (1)1. 病房呼叫电路 (2)2. 核心编码电路 (5)3. 针对性复位电路 (3)(三)系统完成电路图 (5)四、电路实物连接说明 (1)五、课程设计总结 (4)附录 (1)元件清单 (2)参考文献 (5)一、选题意义本次本人选择的课程设计的题目是医院病人紧急呼叫系统。

类似系统在目前的医院里已经十分常见,几乎所有的病房里都配备的这样的紧急呼叫系统。

这些系统虽然出自不同的生产商,但往往都具备这样一些共同点,如:当病人呼叫时都可产生声或者光等信号提示并显示病人的编号、根据病人的病情来设置显示的优先级别以确保病情最重的病人最先得到医治等等。

这个系统是十分实用并且高效的,因而,这个课程设计题目是接近现实应用的,对于一个理工科的学生来说是有相当实际意义的,而且这个设计题目也是有趣的,是值得花时间甚至是大量时间反复推敲琢磨的。

 二、整体设计思想根据老师的设计要求,本题目有三个基本要求: 1.当病人紧急呼叫时,产生声、光提示,并显示病人编号。

 2. 根据病人病情设置优先级别,当有多人呼叫时,病情严重者优先。

 3.医护人员处理完当前最高级别的呼叫后,系统按优先级别显示其他呼叫的病人的编号。

 结合我所学知识,我进行了初步的分析,确定了基本的设计思想:以编码器为核心电路,配合触发器(进行信号锁存) 、译码器(对信号进行复位)以及相关的逻辑门电路,组合为一个可以对每个信号进行编码、显示、译码的电路,从而达到设计所要求的功能。

具体叙述如下: 1.用四个轻触开关来模拟四个病房的呼叫输入信号,4号优先级最高,依次降低。

数电课程设计——病房呼叫系统

数电课程设计——病房呼叫系统

数电课程设计——病房呼叫系统学校:学院:班级:姓名:组员:学号:前言临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生或护士,并在值班室的监控中心电脑上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。

呼叫系统的优劣直接关系到病员的安危,历来受到各大医院的普遍重视。

它要求及时、准确、可靠、简便可行、利于推广。

本设计采用主从结构,监控机构放置在医生值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。

呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。

监控机构和呼叫源之间通过电线连接在一起。

本论文阐述了病房呼叫系统的基本组成以及一些相关的硬件设计,设计要求:1.用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低;2.用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);3.凡有呼叫发出5秒的呼叫声;4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理仿真软件简要介绍本次课程设计我们主要使用Multisim 10来进行仿真。

Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。

它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

Multisim软件有许多版本,这次我们选择Multisim 10是因为该版本比较普遍,兼容性也较强。

硬件设计电路总体结构:图1 电路总体结构本设计采用了74LS148D 、74LS47D、74160及各种们电路。

通过开光1、2、3、4、5的开闭点亮指示灯并给优先编码器74LS148D送码,优先编码器74LS148D将其编码后再传送给74LS47D,74LS47D再将其翻译出来,传送给数码管。

基于Multisim10软件的病房呼叫系统的设计

基于Multisim10软件的病房呼叫系统的设计

基于Multisim10软件的病房呼叫系统的设计
郭金玉;幺旭东
【期刊名称】《中国现代教育装备》
【年(卷),期】2014(000)009
【摘要】Multisim软件用软件的方法虚拟电子与电工元器件和仪表,是一款用于原理电路设计、电路功能测试的虚拟仿真软件。

病房呼叫系统的设计是数字电子技术课程中一个典型的综合应用电路。

以病房呼叫系统设计为例,介绍Multisim10在综合应用电路仿真设计中的应用。

给出了运用Multisim10软件绘制的电路原理图和仿真结果。

【总页数】2页(P15-16)
【作者】郭金玉;幺旭东
【作者单位】沈阳化工大学辽宁沈阳 110142;沈阳化工大学辽宁沈阳 110142【正文语种】中文
【相关文献】
1.基于Zigbee的无线病房呼叫系统主控中心的软件设计 [J], 杨明极;李昕
2.基于初中物理核心素养的教材资源建设实践研究——以苏科版综合实践活动"模拟病房呼叫系统电路设计"为例 [J], 王晓琳; 季卫新
3.基于语音识别的病房呼叫系统的分类呼叫设计 [J], 郭春梅;周兴朝
4.基于ZigBee技术的无线医院病房呼叫系统设计 [J], 彭晓宇;杜露露;谢扬
5.基于ZigBee技术的无线医院病房呼叫系统设计 [J], 彭晓宇;杜露露;谢扬
因版权原因,仅展示原文概要,查看原文内容请购买。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

技能训练报告
学生姓名学号
班级
专业电子信息科学与技术
题目病房呼叫系统的设计
指导教师(姓名)(职称)
2010 年 5 月
一、设计指标
本设计是实现一个具有优先级的病房呼叫系统。

通过优先编码器对模拟病房编码,再通过译码器译出模拟的最高级病房号,当有病房呼叫时信号通过译码器和逻辑门触发,值班室的数码管即显示相对最高优先级别的病房号,而且峰鸣器发声,从而实现呼叫作用。

当相对优先级高的病房事情处理结束,由护士将该病房呼叫开关打开,再去处理下一个相对最高优先级的病房的事务。

全部处理完毕后,即没有病室呼叫,此时值班室的数码管显示“0”。

二、设计方框图
呼叫显示模块
病房多谐振荡优先显示模块复位按钮
呼叫模块
三、元器件介绍
1 、8-3优先编码器74LS148
D0~D7为输入信号,A2、A1、A0为三位二进制编码
输出信号,IE为使能输入端,OE为是能输出端,GS为片
优先编码输出。

由真值表可知74LS148的输出逻辑方程为:
A2=(I4+I5+I6+I7)IE A1=(I2I4I5+I3I4I5+I6+I7)IE
A0=(I1I2I4I6+I3I4I6+I5I6+I7)IE
使能输出端OE的逻辑方程为:
OE=I0•I1•I2•I3•I4•I5•I6•I7
扩展片优先编码输出端GS的逻辑方程为:
GS=(I0+I1+I2+I3+I4+I5+I6+I7)•OE
图1 74LS148管脚排列图
表1 74LS148 真值表
由真值表,在1S =0电路正常工作状态下,允许0Y ~7Y 当中同时有几个输入端为低电平,即有编码输入信号, 7Y 的优先权最高0Y 的优先权最低。

当7Y =0时,无论其他输入端有无输入信号(表中以×表示),输出端只给出7Y 的编码。

2、74LS47译码器
图2 74LS47 译码器
74LS47是输出低电平有效的七段字形译码器,
该电路是由与非门、输入缓冲器和7 个与或非门组
成的BCD-7 段译码器/驱动器。

通常是低电平有效,高的灌入电流的输出可直
接驱动显示器。

7 个与非门和一个驱动器成对连
接,以产生可用的BCD 数据及其补码至7 个与或
非译码门。

剩下的与非门和3 个输入缓冲器作为试
灯输入(LT )端、灭灯输入/动态灭灯输出(BI/RBO)
端及动态灭灯输入(RBI )端。

LT L ×××××H L L L L L L L (5)
表2 74LS47译码器真值表
注:1、当需要0到15的输出功能时,灭灯输入(BI)必须为开路或保持在高逻辑电平,若不要灭掉十进制零,则动态灭灯输入(RBI)必须开路或处于高逻辑电平。

2、当低逻辑电平直接加到灭灯输入(BI)时,不管其它任何输入端的电平如何,所有段的输出端都关死。

(H=高电平 L=低电平×=不定)3、当动态灭灯输入(RBI)和输入端A、B、C、D 都处于低电平而试灯输入(LT)为高时,则所有段的输出端进入关闭且动态灭灯输出(RBO)处于低电平(响应条件)。

4、当灭灯输入/动态灭灯输出(BI/RBO)开路或保持在高电平,且将低电平加到试灯输入(LT)时,所有段的输出端都得打开。

* BI/RBO 是用作灭灯输入(BI)与/或动态灭灯输出(RBO)的线与逻辑。

3、74LS08 2输入端四AND
(a) (b)
图3 74LS08管脚排列
表3 74LS08
真值表
5、74LS04六位反向器
图4 74LS04管脚排列图和内部框图
6、七段显示数码管
数码显示器有多种,按显示方式可分为分段式、点阵式和重叠式;按发光材料可分为辉光显示器、荧光显示器、发光二极管显示器和液晶显示器等。

目前普遍使用的七段式数字显示器主要有发光二极管和液晶显示器两种。

这里主要介绍七段发光二极管组成的数码管原理。

数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。

共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f、g 输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。

共阳极数码管BS201B和共阴极数码管BS201A的逻辑符号及引脚图如图4.3.11所示。

图中,dp为小数点,也是一个发光二极管,但一般显示译码器没有驱动输出,使用时需另加驱动。

三、设计原理
1、各功能模块电路的设计(用Multisim仿真)
1、呼叫显示模块
模拟7位病房,将病人依据病情,从1至7依次排放,当病人按下呼叫按钮,对应病
房呼叫灯亮起。

病房呼叫显示模块
2、优先显示模块
优先显示模块
利用74LS148优先编码
器,对病房信号进行编
码,将优先级相对较高的
信号优先输出,对其余信
号锁存。

在通过74LS08
与门。

3、值班室数字显示及蜂鸣器报警模块
L1~L7为模拟病房门口的呼叫指示灯,当呼叫开关K1~K7任何开关被按下时,相应开关上的指示灯即闪烁发光,同时护士值班室的数码管即显示相对最高优先级别的病房号,而且峰鸣器SP会令计算机上的扬声器发声。

2、整体电路图(用Multisim仿真)
此例仿真可在Multisim的主界面下,启动仿真开关即可进行电路的仿真。

在图中
K1~K7为病房呼叫开关,在其下方的Key=1~Key=7分别表示按下键盘上1~7数字键即可控制相应开关的通道。

四、电路安装与调试过程
系统调试方法介绍:本设计中调试过程相对简单,首先将各个模块连接到一起后,注意检查各个部分是否连接正确和连接端是否接好。

在测试过程中观察各管脚电平是否符合理论值,以及各模块是否正常运作并达到设计目标。

若未能实现,要进行逐一排查,,直到问题解决。

各模块连接好之后就可以对电路进行调试了。

首先触发一个病人的信号,看是否产生声音、光报警。

并且声音响起,数码管是否显示对应病床编号。

直到完成此功能,则进行下一项调试。

下一项调试是触发多个病人信号,除第一个调试现象外,是否显示最优先的病人编号,如完成则设计正确。

五、电路测试与使用说明
系统功能:在有多个呼叫信号同时产生时,对已有的最高级别信号进行清零观察系统能否对剩余信号中的最高级别信号进行优先呼叫。

或者在原有呼叫信号的基础上在输入一个最好级别的呼叫信号,观察系统能否将此最好信号优先呼叫。

使用过程:
1、按照病人的病情划分优先级别,有多人同时呼叫时,系统按优先等级显示
呼救编号。

2、当病人按下呼叫信号按钮呼叫灯亮,同时值班室显示病人编号,蜂鸣器发
出5秒呼叫声,等待医护人员。

3、当医护人员处理完最高级别呼救后,按下复位键,系统显示下一最优先级
别的病房编号。

六、训练总结
运用Multism仿真对设计电路分析可知此电路基本符合设计要求。

本设计是为在病人紧急需要救治时能尽快实施而设计的呼叫系统。

此系优点在于对病人优先选择的设计,避免了多人同时呼救时产生人员不够,只是病情严重病人无法得到治疗的缺陷。

通过优先选择,等级划分后,则可避免意外事故,增强医疗处理效率。

当然在设计过程中也存在些许问题,如模拟规模较小,不符合现实情况,难以在现实情况中获得作用。

我想如果可以是可以对此进行修缮的。

在设计开始,没有想到优先选择的作用,觉得值班室应该与病房一样,有相同的显示灯,在病房呼叫时,相应灯也亮起。

但是这样设计相对简单,同时没有优先处理的意义。

所以后来想到优先编码器的作用,并运用到其中使其达到预期目标。

通过本次仿真实验设计,让我看到平时学习中的疏漏,让我重现认识到课堂学习其重要性,端正了学习态度。

同时增强了我的逻辑思维,和实践能力。

从设计主题的确定,到设计的初步完成,从各个器件的使用介绍到组合完成电路,在巩固基础的同时,也更细致的了解了部分器件功能。

我想这也是本次课程设计的目的所在。

相关文档
最新文档