多功能数字计时器方案报告
数字钟实验报告--多功能计时电路的设计
电类综合实验报告题目:多功能计时电路的设计--数字钟姓名:马冯生学号:114116001203学院:材料学院专业:材料加工工程指导:电子技术中心完成时间:2015年3月24日目录一、引言二、实验目的三、实验设计要求四、实验原理及框图五、单元电路设计及其电路六、实验中遇到的问题及解决方法七、心得体会八、元器件清单一.引言随着数字技术在仪表和通信系统中的广泛应用,数字钟已经应用到生活的方方面面,而数字钟的功能也随着人们要求的提高在不断的增加,同时在数字技术的快速发展下,功能也越来越强大。
数字钟能够比传统的时钟更加精确的进行计时,并且能够实现多种显示。
在调节方面,能够内嵌许多诸如报时、万年历、彩铃等计时以外的功能。
本设计在介绍数字钟工作原理的基础上,运用数字集成技术,来设计实现一个多功能数字钟。
二.实验目的1、通过实验掌握十进制加法计数、译码、显示电路的工作过程。
2、通过实验深入掌握电路的分频原理和数字信号的测量方法。
3、熟悉集成电路构成的计数、译码、显示器件的外部功能及其使用方法。
三.实验设计要求1、秒信号发生电路:为计时器提供信号。
2、及时电路:完成0分00秒-9分59秒的计时功能。
3、清零电路:具有开机自动清零功能;在任何时候,按动清零开关,可进行计时器手动清零。
4、译码显示电路:显示计时电路产生的数字信息。
5、系统级联调试:将以上电路进行级联完成等计时器的所有功能。
四.实验原理及框图图1 三位计时器示意图数字钟示意图如图1所示,计时电路完成计时功能,并将计时结果传送至显示电路,进而实现显示功能。
原理框图如图2所示,主要由计时电路、秒信号发生电路、清零电路和译码电路组成。
计时电路在秒信号的作用下,产生0:00~9:59的循环计时,清零电路控制计时电路的清零端,实现时钟的清零,最终将计时电路的输出送至译码显示电路,实现时钟的显示。
图2 数字钟的原理框图五.单元电路设计及其电路1、秒信号发生电路图3 秒信号发生电路秒信号发生电路为计时电路提供驱动信号,电路原理如图3所示。
多功能数字计时器
数字电子技术设计数字电子钟指导老师:唐治德小组成员:辛晓云、熊俞超目录一、设计内容简介本实验采用中小规模集成电路设计一个数字计时器。
要求可以完成计时功能,并在控制电路的作用下具有快速校分,整点报时的功能。
数字计时器是由脉冲发生电路,计时电路,译码显示电路,和控制电路等几部分组成。
其中控制电路由校分电路和报时电路组成。
二、设计要求1、设计一个脉冲发生电路,为计时器提供秒脉冲、为报时电路提供驱动蜂鸣器的脉冲信号。
2、设计一个计时电路,完成24小时的计时功能。
3、设计报时电路,当时间到达整点前10秒进行蜂鸣报时。
4、设计校分电路,在任何时候,拨动校分开关,可以2HZ进行校分。
三、设计原理1、整体电路设计原理数字计时器是由脉冲发生电路、计时电路、译码显示电路和控制电路等几部分组成的,其中控制电路由校分电路和报时电路组成。
其中由秒脉冲电路为计时器提供1hz的计时脉冲,而计时电路则完成相应的计时功能,并由显示电路显示出来,控制电路则均与计时电路有关。
具体的原理框图如下:2、各部分电路的设计及原理(1)脉冲电路图 1 数字电子钟原理框图“分”计时信号“时”计时信号 “秒”计时信号校时信号功能:完成计时功能(3)校时电路功能:在任何时候,拨动校分开关,可以2HZ进行校分。
原理:开关打开时,正常计数:电容两端为高电平,输入秒信号的与非门被选通,输入校分信号的与非门被封锁,秒进位产生的脉冲送至分计数器的时钟端。
开关闭合时,开始校分:电容两端为低电平,输入校分信号的与非门被选通,输入秒信号与非门被封锁,校分信号(2HZ由CC4060的Q14得到)送至分计数器的时钟端。
(6)报时电路五、实验心得这次实验我收获很多。
相比于刚结束的实验,最大的不同是需要动手实际搭建电路,这对自己的动手能力有极大的提高。
实验的时间还是比较长的,我们组用了三天时间。
电路的设计与仿真设计时遇到的问题还不是很大。
但是仿真时还是遇到了不少麻烦。
首先是在仿真软件multisim中找不到所需的集成片,查询资料后后来换了几个软件后找到在proteus中进行仿真。
多功能数字钟毕业设计报告
多功能数字钟毕业设计报告第一章多功能数字钟的概述1.1应用背景21世纪,我们将进入信息时代,在新技术和市场需求的共同作用下电子技术及其产业必将有高速的发展;电子技术分为数电电路和模拟电路,数电研究数字信号,比如像逻辑门等等,模拟电路主要讲的是各种功率放大电路等。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。
数字钟适用于自动打铃、自动广播,也适用于节电、节水及自动控制多路电器设备。
它是由数子钟电路、定时电路、放大执行电路、电源电路组成。
为了简化电路结构,数字钟电路与定时电路之间的连接采用直接译码技术。
具有电路结构简单、动作可靠、使用寿命长、更改设定时间容易、制造成本低等优点。
数字钟的技术实现时、分、秒计时的钟表。
与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,已得到广泛的使用。
数字钟的设计方法有许多种,例如可用中小规模集成电路组成电子钟,也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟,还可以利用单片机来实现电子钟等等。
这些方法都各有其特点,其中利用单片机实现的电子钟具有编程灵活,以便于功能的扩展。
数字钟广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。
诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。
因此,研究数字钟及扩大其应用,有着非常现实的意义,深受人们欢迎。
因此,我们此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.1.2数字钟的功能近年来随着计算机在社会领域的渗透和大规模集成电路的发展,数字钟的应用越来越普及了,并且由于它具有功能强,体积小,功耗低,价格便宜,工作可靠,使用方便等特点,使数字钟的技术在电子和一些自动化行业中应用也越来越广泛了。
多功能数字钟的设计报告
多功能数字钟的设计报告目录1.实验目的 (2)2.实验题目描述和要求 (2)3.设计报告内容 (2)3.1实验名称 (2)3.2实验目的 (2)3.3实验器材及主要器件 (2)3.4数字钟基本原理和电路设计 (3)3.5数字电子钟单元电路设计、参数计算和器件选择..............................3-8 3.6数字电子钟电路图 (9)3.7数字电子钟的组装与调试 (9)4.实验结论 (9)5.实验心得 (10)参考文献 (10)1.实验目的※掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;※进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;※提高电路布局﹑布线及检查和排除故障的能力;※培养书写综合实验报告的能力。
2. 实验题目描述和要求1、基本要求(1)能进行正常的时,分,秒计时的功能,分别由6个数码管显示24小时、60分钟、60秒钟的计数显示。
(2)、具有清零功能。
(3)、具有开、关功能。
2、发挥部分(1)能实现“校时”“校分”功能:当按下“SA”“校时”键时,计时器迅速递增,并按24小时循环,计满23小时后返回00;按下“SB”“校分”键时,计分器迅速递增,并按60分钟循环,计满59分钟后返回00;但不向“时”进位。
(2)能利用扬声器做整点报时:整点自动报时。
(参考:在离整点10s内,便自动发出鸣叫声,步长1s,每隔1s鸣叫一次,前四响是低音,最后一响为高音,最后一响结束为整点,报时频率可定为1KHz。
)能进行整点报时:当计时到达59分50秒后,每隔2秒钟发出一次低音“嘟”信号(其声音频率为500HZ),连续5次到达整点,发出一次高音“嘀”信号(其声音频率为1000HZ)3、对电路进行逻辑功能仿真。
3.设计报告内容3.1实验名称: 数字电子钟3.2实验目的·掌握数字电子钟的设计、组装与调试方法;·熟悉集成电路的使用方法。
多功能数字计时器设计
电工电子综合实验(Ⅱ)实验报告多功能数字计时器设计姓名:I、设计要求一、实验目的1.掌握常见集成电路的工作原理和使用方法。
2.培养学生分析问题解决问题的能力。
3. 提高学生设计单元电路的,调试电路的实验技能二、实验内容及要求1. 应用CD4511BCD码译码器﹑LED双字共阴显示器﹑300Ω限流电阻设计﹑安装调试四位BCD译码显示电路实现译码显示功能。
2. 应用NE555时基电路、3KΩ、1KΩ电阻、0·047UF电容和CD4040计数分频器设计,安装,调试秒脉冲发生器电路(输出四种矩形波频率 f1=1HZ f2=2HZ f3≈500Hz f4≈1000Hz)。
3. 应用CD4518BCD码计数器、门电路,设计、安装、实现00′00″---59′59″时钟加法计数器电路。
4. 应用门电路,触发器电路设计,安装,调试校分电路且实现校分时停秒功能(校分时F2=2Hz)。
设计安装任意时刻清零电路。
5. 应用门电路设计、安装、调试报时电路59′53″,59′55″,59′57″低声报时(频率f3≈500Hz),59′59″高声报时(频率f4≈1000Hz)。
整点报时电路。
H=59′53″·f3+59′55″· f3+59′57″·f3+59′59″·f46.联接试验内容 1.—5.各项功能电路,实现电子计时器整点计时﹑报时、校分、清零电路功能。
三、实验要求设计正确、布局合理、排线整齐、功能齐全。
四、实验器材:1、集成电路:NE555 一片(多谐振荡)CD4040 一片(分频)CD4518 两片(8421BCD码十进制计数器)CD4511 四片(译码)74LS00 三片(与非)74LS20 一片(4输入与非)74LS21 两片(4输入与门)74LS74 一片(D触发)2、电阻:1KΩ一个3KΩ一个300Ω二十八个3、电容:0.047uf 一只4、共阴极双字屏两块五、器件引脚图及功能表1.CD4511图 CD4511引脚图2、共阴双字显示器3、NE555NE555功能表如下:(引脚4 )V4、CD40405、CD4518CD4518逻辑功能如表所示。
多功能数字时钟设计报告
题目:多功能数字钟设计学校:广东石油化工学院学院:机电工程学院班级:装控09--2姓名:黄亚冲学号:16一、设计任务多功能数字钟电路设计二、设计条件74LS00 4片,74LS48 6片,74LS74 1片,74LS90 5片,74LS92 2片,74LS191 1片,555 1个,数码显示器202 6片,电阻器 6个,电容器 4个,开关 2个三、设计要求①准确计时,以数字形式显示时、分、秒的时间。
②小时的计时要求为“12翻1”,分和秒的计时要求为60进制进位。
③校正时间。
4、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、装配与调试。
四、设计内容1.设计思想:数字钟主要分为数码显示器、60进制和12进制计数器、频率振荡器和校时这几个部分。
该系统的工作原理是:振荡器产生的稳定高频脉冲信号,作为数字钟的时间基准,在经分频器输出标准秒脉冲。
秒计数器计满60后向分计数器进位,分计数器计满60后向小时计数器进位,小时计数器按照“12翻1”规律计数。
计数器的输出经译码器送显示器。
计时出现误差时可以用校时电路进行校时、校分、校秒。
图1、多功能数字钟系统组成框图2.电路结构与原理图(1)振荡器振荡器可由晶振组成,也可以由555定时器组成。
图3是由555定时器构成的1KHZ的自激振荡器,其原理是0.7(2R3+R4+R5)C4=1ms,f=1/t=1KHZ。
计时是1HZ的脉冲才是1S计一次数,所以需要分频才能得到1HZ的脉冲。
图2、晶体振荡器图3、555振荡器(2)分频器的设计分频器电路,是三个用十进制计数器74LS90串联而成的分频器,分频原理是在74LS90的输出端子中,从低位输入10个脉冲才从高位输出1个脉冲,这样一片74LS90就可以起十分频的作用,三个74LS90串联就构成了千分频的电路,输出的便是1HZ的信号,从而达到目的。
电路图见图4图4、分频器(3)小时计数器的设计——12进制计数器时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒,秒的个位计数器再输入一个秒脉冲是,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。
(数电)多功能数字钟—设计报告
1、设计内容及要求:①基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为24进制,并要求手动快校时、校分。
②扩展功能:整点报时。
2、系统设计原理:系统要求:数字电子钟由555集成芯片构成的振荡电路、计数器、译码器、显示器和校时电路组成。
555集成芯片构成的振荡电路产生的信号作为秒脉冲,秒脉冲送入计数器,计数结果通过“时”、“分”、“秒”译码器显示时间。
在功能方面,对于本次综合设计,还要求有校时与整点报时功能。
方案设计:图1. 数字钟电路框图电子钟的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。
因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。
主电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。
系统工作原理:秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,用555振荡器来实现。
将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计时器,可实现对一天24小时的累计。
译码显示电路将“时”、“分”、“秒”计数器的输出状态用七段显示译码器译码,通过七段显示器显示出来。
校时电路时用来对“时”、“分”显示数字进行校对调整。
3.单元电路的设计:3.1、基于555电路的秒脉冲发生器的设计3.1.1用555芯片设计一个多谐振荡器,输出方波用作计数器。
脉冲频率公式:f=1/(R1+2R2)C㏑2选择R1=1K,R2=5K,RV1=2K,C=100nF,形成电路图如图所示:图2. 555振荡器电路图仿真波形如图所示图3. 555脉冲仿真波形图555振荡器输出f=1000HZ,通过分频得出1HZ的脉冲,此脉冲当做秒时针脉冲。
电子综合设计多功能数字钟报告
电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。
本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。
二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。
同时,使用按键作为输入进行功能的选择和设置。
2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。
将按键连接到FPGA的IO口,通过IO口输入按键的信号。
此外,还需要连接一个晶振电路来提供时钟信号。
3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。
具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。
通过按键的输入来切换不同的状态,实现不同功能的切换和设置。
4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。
(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。
(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。
(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。
以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。
多功能数字时钟设计报告
多功能数字时钟设计报告学校:湘潭大学学院:信息工程学院班级:自动化一班姓名:xxx学号: 2007550319指导老师:xx老师目录1、引言 (3)2、实验设计内容及要求 (3)3、设计电路的用途及原理简介 (4)4、单元电路设计原理 (5)5、仿真全图 (7)6、电路的调试说明 (8)7、所遇到的问题及解决 (8)8、实验总结和体会 (8)9、附录(集成芯片引脚图和功能表) (9)一、引言(一)摘要:随着人类科技文明的发展,人们对于时钟的要求在不断地提高。
时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。
高精度、多功能、小体积、低功耗,是现代时钟发展的趋势。
在这种趋势下,时钟的数字化、多功能化已经成为现代时钟生产研究的主导设计方向。
本实验要求设计一个数字计时器,可以完成0分00秒~11小时59分59秒的计时功能,并在控制电路的作用下有开机清零、快速校分。
二、实验设计内容及要求1、设计内容简介:要求设计一个数字计时器,可以完成0分00秒~11小时59分59秒的计时功能,并在控制电路的作用下有开机清零、快速校分。
2、设计要求:1.设计一个脉冲发生电路,为计时器提供秒脉冲、为报时电路提供驱动蜂鸣器的脉冲信号;2.设计计时电路,完成0分00秒~11小时59分59秒的计时功能;3.设计校分电路,在任何时候,拨动校分开关,可快速校分;4.设计清零电路,具有开机自动清零功能,并在任何时候,按动清零开关,可以实现计时器清零。
2、实验器材元件三、设计电路原理简介:1、设计原理:电路由振荡器、分频器、计数器、译码器、显示器、校时电路、和报时电路组成。
振荡器产生的脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间。
校分电路实现对“分”上数值的控制,而不受秒十位四、单元电路设计原理1、秒脉冲发生器显示电路2.校时电路计数器VCC5V 3五.仿真全图GND六、电路的调试说明1、检查电路对照电路图检查电路器件是否连接正确,器件引脚、电容极性、电源线、地线是否对接,连接是否牢靠,电源的数值与方向是否符合设计要求。
设计实验报告多功能数字钟设计
设计实验报告多功能数字钟设计EDA设计实验报告多功能数字时钟设计院系: 电光学院专业:通信工程摘要该实验利用quartus II软件设计一个多功能数字钟,进行实验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到smart SOPC实验系统中进行调试和验证。
此外还添加了秒表功能,使得设计的数字钟功能更加完善。
Abstract:This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting , hour-correcting , minute-correcting , reset , timing-holding and belling on the hour. And then validated the design on the experimental board . In addition, additional functions like reseting the stopwatch make this digital clock a perfect one.目录1.设计要求 (4)2.工作原理 (4)3.各模块说明 (5)1)分频模块 (7)2)计时模块 (13)3)显示模块 (16)4)校分与校时模块 (17)5)清零模块 (18)6)保持模块 (18)7)报时模块 (18)4.扩展模块 (19)1)秒表模块 (19)5.调试、编程下载 (20)6.实验中出现问题及解决办法 (21)7.实验收获与感受 (22)8.参考文献 (23)一、实验目的通过设计一个00时00分00秒~23时59分59秒的多功能计数器, 巩固和复习数字电路知识,初步掌握EDA设计的基本思路和方法,并能够较为熟练地使用软件QuartusII7.2的相应功能,为课程设计等相关实验课程打下理论与实践两方面的基础。
多功能计时器设计报告
多功能计时器设计报告一、引言多功能计时器是一种常见的电子设备,能够用于各种场景中的计时功能,如厨房中的烹饪计时、运动场上的比赛计时等。
它不仅能提供精确的计时功能,还能根据用户需求提供多种定时和提醒功能。
本设计报告将详细介绍多功能计时器的功能、硬件设计以及软件设计。
二、多功能计时器的功能需求1.计时功能:提供从1秒到24小时的计时功能,能够显示倒计时时间或者当前计时时间,并能精确到毫秒级。
2.定时功能:能够设置定时开关功能,例如定时闹铃功能,在预定时间到达时触发报警器。
3.计次功能:能够记录计时的次数并显示。
4.报警功能:能够提供声音或者光提示以提醒用户计时完成或者时间到达。
5.显示功能:能够清晰显示计时器的各项参数,包括当前时间、计时时间、计次次数等。
三、多功能计时器的硬件设计1.主控芯片:使用低功耗、高性能的单片机作为主控芯片,例如STM32系列。
2.显示屏:使用液晶显示屏作为主要显示界面,能够清晰显示计时器的各项参数。
3.蜂鸣器:用于提供报警功能,能够发出清晰的声音以提醒用户。
4.按钮:用于用户操作,包括启动计时、暂停计时、重置计时等。
5.电源:使用电池供电,以方便携带和使用。
四、多功能计时器的软件设计1.系统初始化:在开机时进行系统初始化,包括设置计时参数、显示界面初始化等。
2.计时功能设计:包括开始计时、计时暂停、计时重置等功能。
3.定时功能设计:允许用户设置定时时间和计时时间到达时的操作。
4.计次功能设计:记录计时次数并在显示屏上显示。
5.报警功能设计:设定报警时间到达时发出声音或者光提示。
6.显示功能设计:在液晶显示屏上实时显示计时参数,包括计时时间、定时时间、计次次数等。
五、多功能计时器的测试与改进1.功能测试:对多功能计时器进行各项功能的测试,如计时、定时、报警、显示等功能的正确性测试。
2.性能测试:对多功能计时器的性能进行测试,如计时准确性、显示清晰性等。
3.用户测试:邀请用户测试多功能计时器的易用性和实用性,并根据用户反馈进行改进。
多功能数字钟的设计报告
多功能数字钟的设计报告
一、简介
本项目为一款多功能数字钟,考虑到时代的发展,利用计算机技术和
电子工艺,设计出一款全新的数字钟,它可以满足日常生活中的不同需求。
二、功能
1、设置时间和日期的功能。
2、支持闹钟功能,可以设置多个闹钟,每天自动响铃提醒。
3、支持倒计时功能,用户可以设置倒计时的时间,可以实现计时功能。
4、支持自定义界面功能,用户可以根据自己的喜好来设置数字钟的
界面和背景图案。
5、钟表外观强大,具有耐用、耐磨、耐高温等特点,能够满足不同
应用环境的要求。
三、硬件系统
本项目采用先进的微控制芯片技术,设计制造的多功能数字钟由主控
单元、传感器、触摸控制板、显示屏、外壳等组成。
1、主控单元:采用先进的微控制器进行控制,并结合定时器、中断
处理模块进行时间控制,主控单元负责接收传感器信号,控制显示屏信息,实现时钟的功能。
2、传感器:采用光电传感器、按键传感器等,可以有效地接收外部
信号,实现对外部信号的检测。
3、触控板:采用触摸传感技术,可以有效地实现用户对时钟的操作,例如调节时间、设置闹钟等。
电工电子综合实Ⅱ多功能数字计时器实验报告
南京理工大学电工电子综合实Ⅱ多功能数字计时器实验报告一、实验目的及内容1、实验目的(1)掌握常见集成电路工作原理和使用方法。
(2)学会单元电路设计与组合方法。
2、设计要求实现00:00到59:59的数字计时功能3、设计内容(1)设计实现信号源的单元电路。
(KHz,22,≈)3≈≈1≈1500FHzFFHzHz4,F1(2)设计实现00’00”—59’59”计时器单元电路。
(3)设计实现快速校分单元电路。
含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。
(4)加入任意时刻复位单元电路(开关K2)。
(5)设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。
二、设计电路的用途及原理简介数字计时器实际上是一个对标准频率(1HZ)进行计数的计数电路。
计时器一般由振荡器、分频器、计数器、译码器、显示器、校时电路、和报时电路组成。
振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。
秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间。
校分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响。
报时电路通过500Hz 或1kHz的信号和要报时的时间信号进行“与”的运算来实现的定点报时的。
各个信号“与”运算关系如下:f+ 59:594fH报时=(59:53+59:55+59:57)3f QH校分=秒进位Q +2H清零=复位+K2整体结构框图如下:图一:数字计时器逻辑框图三、电路所需元器件元件型号数量NE555 1片CD4040 1片CD4518 2片CD4511 4片74LS00 3片74LS20 1片74LS21 2片74LS74 1片电容0.047uf 1只电阻150Ω4只电阻1kΩ1只电阻3kΩ1只双字屏共阴显示器2块数字逻辑实验仪1台表一:元器件清单四、单元电路设计原理1、脉冲发生电路:(1)NE555:555集成定时器是一种将模拟和数字电路集成于一体的电子器件,使用十分灵活方便,只要外加少量的阻容元件,就能构成多用途的电路,故其在电子技术中得到了广泛的运用。
多功能数字钟的设计报告
多功能数字钟的设计报告一、设计任务使用硬件描述语言,在CPLD/FPGA上实现一个多功能数字钟。
二、设计要求除按键、LED、扬声器、时钟信号外,整个数字钟的功能要求在一块芯片上实现。
a)具有时,分,秒,计数显示功能,以24小时循环计时;b)具有时间清零功能;c)具有小时、分钟和秒钟调整功能(个位和十位分开调或合起来调)。
d)具有闹钟功能,能预设闹钟时间,精确到秒。
e)整个数字钟只设一个时钟输入端口,所需不同频率信号在内部分频实现。
(LED扫描频率设为50Hz以上)三方案I.总体方案分析:整个电路有三大主体电路:1. 控制电路,2.脉冲电路,3,功能电路时钟电路首先要有输入脉冲,由于平台提供了脉冲发生器,就省去了脉冲发生器的设计,这里我们只需要设计一个分频器,得到我们需要的频率。
时钟的计时范围是00:00:00---23:59:59,所以我们需要设计模六十和模二十四的计数器组成时钟计时电路。
为了显示当前时钟时间,我们需要一个显示电路。
校分、校时、清零电路只需要输入一些控制信号给时钟计时电路即可,当然这些控制信号是由开关提供的。
要实现整点报时功能,一个报时控制电路是必不可少的。
这是只含基本功能的时钟电路所包含的子电路。
为了实现我们加入的闹钟功能,我们需要一个闹钟时间设定电路;闹钟时间保持电路;比较电路;蜂鸣器控制电路;闹表时间显示电路。
因为我们只含有一个数码显示器,一般状态下显示的是时钟,所以我们需要一个显示模式切换电路。
module decoder_38(out,in);output[7:0] out;input[2:0] in;reg[7:0] out;always @(in)begincase(in)3'd0: out=8'b11111110;3'd1: out=8'b11111101;3'd2: out=8'b11111011;3'd3: out=8'b11110111;3'd4: out=8'b11101111;3'd5: out=8'b11011111;3'd6: out=8'b10111111;3'd7: out=8'b01111111;endcaseendendmodulemodule fenpinqi(CP,CP_1HZ,CP_1KHZ);input CP;output CP_1HZ,CP_1KHZ;reg CP_1HZ,CP_1KHZ;integer cnt=0,cnt1=0;always@(posedge CP)begin//cnt<=49999999if(cnt<=49999999)beginCP_1HZ<=1'b0;cnt=cnt+1;endelsebeginCP_1HZ<=1'b1;cnt=0;endendalways@(posedge CP)begin//cnt1<=4999if(cnt1<=4999)beginCP_1KHZ<=1'b0;cnt1=cnt1+1;endelsebeginCP_1KHZ<=1'b1;cnt1=0;endendendmodulemodulekongzhiqi( CP_1HZ,S1,S2,RET,Hour,Minute,Second);input CP_1HZ,S1,S2,RET;output [5:0] Hour;output [5:0] Minute;output [5:0] Second;reg [5:0] Hour;reg [5:0] Minute;reg [5:0] Second;reg R1,R2,R8;always @(posedge CP_1HZ)beginif(S1==0)beginR1=1;endif(S2==0)beginR2=1;endif(RET==0)beginR8=1;endif(R1==1)beginif(Hour<6'b11_000)Hour=Hour+6'b1;beginif(Hour==6'b11_000)Hour=0;endR1=0;endif(R2==1)beginif(Minute<6'b111_100)Minute=Minute+6'b1;if(Minute==6'b111_100)beginMinute=0;endR2=0;endif(Second<6'b1111_00)beginSecond=Second+6'b1;endif((R8==1)&&(CP_1HZ))//清零beginHour=0;Minute=0;Second=0;R8=0;endif((Hour==6'b101_11)&&(Minute==6'b1110_11)&&(Second==6'b1110_11)) beginHour=6'b0;Minute=6'b0;Second=6'b0;endif((Hour<6'b101_11)&&(Minute==6'b1110_11)&&(Second==6'b1110_11)) beginHour=Hour+6'b1;Minute=6'b0;Second=6'b0;endif((Minute<6'b1110_11)&&(Second==6'b1111_00))beginMinute=Minute+6'b1;Second=6'b0;endendendmodulemodulexianshiqi( CPout,Hour,Minute,Second,SEL,LEDAG );input CPout;input [5:0] Hour;input [5:0] Minute;input [5:0] Second;output [2:0] SEL;output [6:0]LEDAG;reg [2:0] SEL;reg [6:0] Led;reg [3:0] shiwei1,gewei1,shiwei2,gewei2,shiwei3,gewei3;always @(posedge CPout )beginshiwei1=Hour/10;gewei1=Hour%10;shiwei2=Minute/10;gewei2=Minute%10;shiwei3=Second/10;gewei3=Second%10;if(SEL==3'b000) //判断位选SEL的值,并将此位上的值输出到数码管case(shiwei1)4'b0000: Led = 7'b1000_000;4'b0001: Led = 7'b1111_001;4'b0010: Led = 7'b0100_100;4'b0011: Led = 7'b0110_000;4'b0100: Led = 7'b0011_001;4'b0101: Led = 7'b0010_010;4'b0110: Led = 7'b0000_010;4'b0111: Led = 7'b1111_000;4'b1000: Led = 7'b0000_000;4'b1001: Led = 7'b0010_000;default: Led = 7'b1111_111;endcaseif(SEL==3'b001)case(gewei1)4'b0000: Led = 7'b1000_000;4'b0001: Led = 7'b1111_001;4'b0010: Led = 7'b0100_100;4'b0011: Led = 7'b0110_000;4'b0100: Led = 7'b0011_001;4'b0101: Led = 7'b0010_010;4'b0110: Led = 7'b0000_010;4'b0111: Led = 7'b1111_000;4'b1000: Led = 7'b0000_000;4'b1001: Led = 7'b0010_000;default: Led = 7'b1111_111;endcaseif(SEL==3'b010)Led=7'b1111_111;if(SEL==3'b011)case(shiwei2)4'b0000: Led = 7'b1000_000;4'b0001: Led = 7'b1111_001;4'b0010: Led = 7'b0100_100;4'b0011: Led = 7'b0110_000;4'b0100: Led = 7'b0011_001;4'b0101: Led = 7'b0010_010;4'b0110: Led = 7'b0000_010;4'b0111: Led = 7'b1111_000;4'b1000: Led = 7'b0000_000;4'b1001: Led = 7'b0010_000;default: Led = 7'b1111_111;endcaseif(SEL==3'b100)case(gewei2)4'b0000: Led = 7'b1000_000;4'b0001: Led = 7'b1111_001;4'b0010: Led = 7'b0100_100;4'b0011: Led = 7'b0110_000;4'b0100: Led = 7'b0011_001;4'b0101: Led = 7'b0010_010;4'b0110: Led = 7'b0000_010;4'b0111: Led = 7'b1111_000;4'b1000: Led = 7'b0000_000;4'b1001: Led = 7'b0010_000;default: Led = 7'b1111_111;endcaseif(SEL==3'b101)Led=7'b1111_111;if(SEL==3'b110)case(shiwei3)4'b0000: Led = 7'b1000_000;4'b0001: Led = 7'b1111_001;4'b0010: Led = 7'b0100_100;4'b0011: Led = 7'b0110_000;4'b0100: Led = 7'b0011_001;4'b0101: Led = 7'b0010_010;4'b0110: Led = 7'b0000_010;4'b0111: Led = 7'b1111_000;4'b1000: Led = 7'b0000_000;4'b1001: Led = 7'b0010_000;default: Led = 7'b1111_111;endcaseif(SEL==3'b111)case(gewei3)4'b0000: Led = 7'b1000_000;4'b0001: Led = 7'b1111_001;4'b0010: Led = 7'b0100_100;4'b0011: Led = 7'b0110_000;4'b0100: Led = 7'b0011_001;4'b0101: Led = 7'b0010_010;4'b0110: Led = 7'b0000_010;4'b0111: Led = 7'b1111_000;4'b1000: Led = 7'b0000_000;4'b1001: Led = 7'b0010_000;default: Led = 7'b1111_111;endcaseSEL = SEL + 3'd1;endassignLEDAG=Led;endmodule。
多功能数字钟课程设计报告
电子时钟课程设计报告班级:文通 0741姓名:***学号:************多功能数字钟课程设计报告一、课程设计题目: 多功能数字钟二、实验目的:☆了解多功能数字电子钟的工作原理。
☆学习数字系统设计中自顶向下的设计方法。
☆加深利用EDA技术实现数字系统的理解。
三、课程设计任务和基本要求:☆设计任务采用中规模集成电路设计一台可以显示时、分、秒的数字钟。
☆基本要求1、能够正确的连线及下载。
2、能够完成以秒为最小及时单位的时钟设计。
3、设计完成后的时钟能够正常调整时、分、秒。
三、课程设计题目分析:☆设计要点●设计一个精确的秒脉冲信号产生电路●设计60进制、24进制计数器●设计译码显示电路●设计整点报时电路☆工作原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。
将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计数器,可以实现一天24h的累计。
译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来。
整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。
校时电路是来对“时、分、秒”显示数字进行校对调整。
其数字电子钟系统框图如下:四、课程设计的电路设计部分:☆秒、分、时计时器电路设计秒、分计数器为60进制计数器,小时计数器为24进制计数器。
实现这两种模数的计数器采用中规模集成计数器74LS90构成。
●60进制计数器由74162构成的60进制计数器,将一片74162设计成10进制加法计数器,另一片设置6进制加法计数器。
多功能数字时钟实验报告
重庆交通大学开放性实验报告(A类)项目名称:多功能数字钟电路设计专业班级:电子2班学生姓名:***小组成员:何聪、范瑞目录多功能数字时钟设计 (3)摘要 (3)1.系统原理框图 (4)2.单元电路设计与仿真 (5)2.1时间脉冲产生电路 (5)2.2时间计数器电路 (6)2.3 十二与二十四小时的切换 (8)2.4校时电路 (8)2.5报时电路 (9)2.6电路总图 (9)3.PCB板的制作 (10)3.1 原理图的绘制 (10)3.2 PCB的制作 (11)3.3 PCB图 (12)4.心得与体会 (12)附录原件清单 (13)附件1 仿真电路图........................................... 错误!未定义书签。
附件2 电路原理图........................................... 错误!未定义书签。
附件3 PCB图................................................ 错误!未定义书签。
多功能数字时钟设计摘要数字电子钟实际上是一个对标准频率(1Hz)进行计数的计数电路。
由振荡电路形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。
秒计数器电路计满60 后触发分计数器电路,分计数器电路计满60 后触发时计数器电路,当计满24 小时后又开始下一轮的循环计数。
一般由振荡电路、计数器、数码显示器等几部分组成。
振荡电路:主要用来产生时间标准信号,由NE555 组成的多谐振电路产生,但是因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以一般采用石英晶体振荡器。
分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。
计数器:有了“秒”信号,则可以根据60 秒为 1 分,24 小时为1 天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60 进制,60 进制,24 进制计数器,并输出一分,一小时的进位信号。
多功能数字钟
多功能数字计时器设计——电子电工综合实验(二)实验报告专业:电气工程及其自动化院系:自动化学院目录一.设计目的-------------------------------- 2 二.设计要求 ------------------------------- 2 三.设计原理 ------------------------------- 2 1.系统的整体设计原理---------------------- 2 2.部分功能设计 ------------------------ 3(1)脉冲发生电路------------------- 3(2)计时电路----------------------- 3(3)报时电路----------------------- 5(4)校分电路----------------------- 6(5)清零电路----------------------- 6(6)整体电路----------------------- 7(7)附加电路(电路启停功能)------- 7四、遇到的问题与体会------------------------ 8 五.附录-------------------------------- 9 1.元器件清单----------------------------- 9 2.参考文献 ----------------------------- 12一、设计目的:1、掌握常见集成电路的工作原理和使用方法。
2、学会单元电路的设计方法。
二、设计要求:1.设计一个脉冲发生电路,为计时器提供秒脉冲,为报时电路提供驱动蜂鸣器的脉冲信号。
2.设计计时电路,完成0分00秒到59分59秒的计时功能。
3.设计报时电路,使数字计时器从59分53秒开始报时,每隔一秒发一声,共发三次低音,一声高音:即59分53秒、59分55秒、59分57秒发低音(频率为1kHz),59分59秒发高音(频率为2kHz)。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
个人资料整理仅限学习使用电工电子综合实验报告题目:多功能数字计时器设计个人资料整理仅限学习使用目录1.实验内容简介2.电路设计要求3.电路原理简介4. 单元电路设计4.1 秒信号发生电路4.2 计时电路4.3 开机清零电路4.4 校分电路4.5 报时电路5.总电路图6.附加电路--起停电路7.实验感想8.附录8.1 元件清单8.2 芯片引脚图和功能表9.参考文献1.实验内容简介本设计采用中小规模集成电路,要求设计一个数字计时器,可以完个人资料整理仅限学习使用成0分00秒到9分59秒得计时功能,并在控制电路的作用下具有开机清零,快速校分,整点报时功能。
2.实验内容1.设计一个脉冲发生电路,为计时器提供秒脉冲、为报时电路提供驱动蜂鸣器的脉冲信号。
2.设计一个计时电路,完成0分00秒~9分59秒的计时功能。
3.设计报时电路,使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音<频率1kHz),9分59秒发高音<频率2kHz)4.设计校分电路,在任何时候,拨动校分开关,可进行快速校分。
5.设计清零电路,具有开机自动清零功能,并且在任何时候,按动清零开关,可以进行计时器清零。
6.系统级联调试,将以上电路进行级联完成计时器的所有功能。
7.可增加数字计时器附加功能,例如数字计时器定时功能、电路起停功能、电路采用动态显示等。
3.电路原理简介数字计时器由计时电路、译码显示电路、脉冲发生电路、校分电路、清零电路和报时电路这几部分组成。
其原理框图如下:个人资料整理仅限学习使用4.单元电路设计一.秒信号发生器秒信号发生器提供计时电路的时钟并为报时电路提供驱动信号。
为提供较为精确的秒脉冲信号,采用32768Hz的石英晶体多谐14分频,即最2CD4060最高可实现振荡器作为脉冲信号源。
分频器低频率端Q14的脉冲信号频率为2Hz,因此增加一个D触发器实现触发器的端与DD端扭接1Hz的倍频器来产生的秒脉冲信号。
将在一起实现倍频器,则Q端的输出信号即为1Hz的秒脉冲信号。
报时电路所需要的1KHz,2 KHz的脉冲信号由4060的管脚Q4和管脚Q5提供。
个人资料整理仅限学习使用二.计时电路该电路是本实验基础电路中的关键电路,由分计数器、秒十位计数器、秒个位计数器构成。
分计数器和秒十位计数器直接用CD4518BCD码计数器实现十进制计数功能;秒十位计数器为六进制计数器,将74LS161做成一个从0000~0101的模六计数器实现。
连接时,秒脉冲电路产生的秒脉冲信号送入秒个位计数器<CD4518A)的EN端,秒个位单元中的输出1Q4通过一非门接入74LS161的时钟端作为时钟信号完成个位与十位的级联<接非门是因为161的~CLK是上升沿触发,而1Q4在9~0的跳变时是下降沿“1001”——“0000”)。
做秒十位记数时,用反馈置位法,2Q1和2Q3通过一与非门接入置数端同时数据输入端均接地,实现模六功能。
将计数位2Q3作为驱动信号送入分计数器<CD4518B)的EN端,则数字计数器整体的计数功能即可实现。
显示电路采用三片CD4511显示译码器和三个七段共阴显示字,秒,译码显示电路用三片四线七线译59分9秒计到00分0电路从个人资料整理仅限学习使用码器CD4511进行译码,而采用共阴极七段LED数码管进行循环显示。
CD4511的输入接到相应计数器的输出,而它的输出端与数码管的相应端相连,数码管通过300的电阻接地。
三.开机清零电路该电路具有开机清零和控制清零功能。
其中秒个位和分位的清零端即CC4518的管脚7和15<高电平有效)接在第一个非门之后,秒十位74LS161的清零端即管脚1<低电平有效)接在第二个非门之后。
刚开机时,由于电容上的电压不能突变,电容两端为低电平,经过第一个非门输出高电平,接到CC4518的管脚7和15,实现秒个位和分位的清零。
在经过第二个非门输出低电平,接到74LS161的管脚1,实现秒十位的清零。
按下开关后,电容被短路,第一个非门的输入端为低电平,两个非门的输出端分别为高电平和低电平,原理同上,实现控制清零功能 <异步清零)。
个人资料整理仅限学习使用4518清零端 74161清零端四.校分电路当校分电路打到“正常”状态时,计数器正常计数;当开关打到“校分”状态时,秒个位和秒十位正常计数,分位进行快速校分,即分计数器可以不受秒计数器的进位信号的控制。
校分电路的工作原理是:当校分开关在“1”电平,与非门2被选通,与非门1被封锁,秒进位产生的脉冲送至分计数器的时钟端;当开关打在“0”电平时,与非门1被选通,与非门2被封锁,校分信号送至分计数器的时钟端。
由于校分电路的信号直接送到分计数器的时钟端,开关的颤动产生的脉冲会导致分计数器的触发,从而影响校分功能,所以对校分开关应加一个RS锁存器构成消颤开关。
个人资料整理仅限学习使用五.报时电路用需要报时的时刻所对应的计数器的输出作为触发信号来驱动蜂鸣器报时,因为需要在9分53秒、9分55秒、9分57秒各报出一个低音,在9分59秒报出一个高音。
具体设计过程如下:将各时刻各位对应的二进制码作如下图的比较:分位<BIN)秒十位(BIN>秒个位<BIN时间<DEC))0011 1001 9:53 0101010101011001 9:550111 1001 9:57 010110019:59 01011001)取或,通过卡诺图17<0111)、)、.将秒个位的3<00115<0101)的化简可得应该从秒个位取<1Q1Q+1Q312))与再和秒十位的5<01019<100112.将中所得结果和分位的553秒、分9的信号与就可得到在与,所得的结果和1KHz53分9秒报出低音的驱动信号。
秒、分957个人资料整理仅限学习使用3.将分位的9<1001)和秒十位的5<0101)与再和秒个位的9<1001)与再和2KHz的信号与就得到在9分59秒报出高音的驱动信号。
4.将2和3中得到的信号取或,就可以得到最终的报时驱动信号。
.总电路图5.个人资料整理仅限学习使用6.附加功能:起停原理及电路图自动起停就是在某一个设定时刻自动停止,在设定好的一定时间后,记时又自动重新开始,在此过程中无须人工干预。
此次自动起停功能具体设计为:在7分39秒自动停止5秒后又重新开始计数。
根据74161的功能表,当没到设定的停止时间时,~LOAD一直是“0”信号输入,使得在置数输入端预置的0111置数至端,由端与1Hz 求与后输入秒个位的CP端,正常计数。
直至7分57秒,“1”信号输入~LOAD,74161在1Hz的脉冲信号下,计数,端输出“01011”信号,1Hz,,,,0111100010011010端重新输出的信号无法进入秒个位的信号端,正好五秒的等待,为“1”。
完成自动起停功能。
个人资料整理仅限学习使用7.实验感想这种综合实验不同于书本知识的学习,不仅需要较强的相关专业知识,同时也需要较强的动手能力,前期也需要很多的准备工作。
通过本次实验,我加深了对课本知识的理解,曾强了动手能力,最重要的是本次实验动手连接电路时,电路管脚较多,总体比较复杂,培养了我严谨的科学研究实验素养和坚韧耐心的品质。
由于电路较复杂,总会有或多或少的小问题,需要静下心来慢慢分析来找出错误,如果急躁消极,泄了气,很难成功做完。
综合实验,不像考试有正好的书本对照,从一开始器件的引脚图到整个电路的设计都需要自己一步一步去认真准备,一次实验做完受益匪浅。
8. 附录一.元件清单.个人资料整理仅限学习使用名称型号数量3显示共34511驱动共阴译码14518码计数BC174161四位二进制计数14060分频17474触发14069非27400二入与非27421四入与17432二入或132768Hz晶13DG6<NP三极1蜂鸣110p电120p122uf3330电1470410k122M2各元件的引脚图及功能表引脚图:个人资料整理仅限学习使用功能表:CC4511逻辑功能表输入输出字符ad c A B C b D g fe11××××0测灯××111118000灭零0100×00消隐0000显示锁存11××××1LE=0→1时数据101111111000000101111000000100201110011000111311011000110111译码401000101011110510111101111000600011111111001711100111001100811111100001111910100111001111CC4518逻辑功能表输入输出ENCPCr个人资料整理仅限学习使用清零 1 ×× 00 0计数 0 1 BCD↑码加法记数保持0 ×保持 0BC码加法记00计保10保74LS7逻辑功能输输DCP0清零0×11×010××置“1”1101送“0”10↑0送“1”↑1111保持×101保持不确定0×不允许0×74LS161逻辑功能表输入输出A CP D C B0 0 ×0 0 ××0 ×××××清零0-1 b a ×c d 1 0 ↑ b a d ×送数c××二进制加法记数××1 1 ↑ 1 记数 11 不变×0 ×××1 保持1 ×不变0××1×××保持 119.参考文献a)《数字电路》蒋立平著南京理工大学2001b)《电子线路实践教程》王建新、姜萍科学出版社2003c)《实验电子技术》李振声主编国防工出版社2001。