电子秒表实验报告
电子秒表电路实验报告
电子技术课程设计报告设计题目:电子秒表院(部):物理与电子信息学院专业班级:电子信息工程学生姓名:学号:指导教师:摘要秒表应用于我们生活、工作、运动等需要精确计时的方面。
它由刚开始的机械式秒表发展到今天所常用的数字式秒表。
秒表的计时精度越来越高,功能越来越多,构造也日益复杂。
本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100KHz;计时最长时间为60min,五位显示器,显示时间最长为59m59.99s;系统设置启/停键和复位键。
复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。
针对上述设计要求,先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。
其次安装并学习了数字电路设计中所常用的Multisim 仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。
关键字:555定时器十进制计数器六进制计数器多谐振荡器目录1.选题与需求分析 (1)1.1设计任务 (1)1.2 设计任务 (1)1.3设计构思 (1)1.4设计软件 (2)2.电子秒表电路分析 (3)2.1总体分析 (3)2.2电路工作总体框图 (3)3.各部分电路设计 (4)3.1启动与停止电路 (4)3.2时钟脉冲发生和控制信号 (4)3.3 设计十进制加法计数器 (6)3.4 设计六进制加法计数器 (7)3.5 清零电路设计 (8)3.7 总体电路图: (10)4 结束语与心得体会 (12)1.选题与需求分析1.1设计任务电子秒表在生活中可广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合.测定短时间间隔的仪表。
有机械秒表和电子秒表两类。
机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒,广泛应用于科学研究、体育运动及国防等方面在当今非常注重工作效率的社会环境中。
电子科技大学电子技术综合实验秒表实验报告
电⼦科技⼤学电⼦技术综合实验秒表实验报告现代电⼦技术综合实验电⼦秒表设计学⽣姓名:xxx学号:xxxxxxxxx指导⽼师:刘曦学院:xxxxxxxx提交时间:2015年5⽉摘要本⽂介绍了使⽤VHDL开发FPGA的⼀般流程,重点介绍了电⼦秒表的设计。
该设计以VHDL作为硬件开发语⾔,以ISE作为软件开发平台,准确地实现了秒表计数、清零、暂停等功能,并使⽤ModelSim仿真软件对VHDL程序实现了仿真,完成了综合布局布线,最终将程序下载到芯⽚Spartan-3A,测试结果良好。
关键字:FPGA VHDL ISE ModelSim 电⼦秒表⽬录第⼀章引⾔————————————————————————————4 第⼆章基于FPGA的VHDL设计流程——————————————————42.1 时间的概念及计时⽅法————————————————————42.2 VHDL语⾔简介———————————————————————42.2.1 VHDL语⾔特点————————————————————-42.2.2 VHDL语⾔优势————————————————————-62.3 FPGA简介—————————————————————————62.3.1 FPGA的主要特点———————————————————-62.3.2 FPGA的开发流程————————————————————6 第三章电⼦秒表的软件开发环境———————————————————63.1 ModelSim简介————————————————————————73.1.1 ModelSim的特点————————————————————-73.2 ISE简介——————————————————————————-7 第四章电⼦秒表的设计与实现————————————————————-74.1 实验任务——————————————————————————94.2 实验条件——————————————————————————94.3 系统需求和解决⽅案—————————————————————94.4 各模块的实现————————————————————————94.4.1 分频器————————————————————————104.4.1.1 分频得到1KHz的时钟信号—————————————104.4.1.2 分频得到100Hz的时钟信号————————————104.4.2 输⼊控制电路—————————————————————114.4.2.1 防抖电路————————————————————114.4.2.2 控制电路————————————————————114.4.3 计数模块———————————————————————124.4.3.1 ⼗进制计数器——————————————————124.4.3.2 六进制计数器——————————————————134.4.4 锁存器————————————————————————134.4.5 显⽰模块———————————————————————134.4.5.1 扫描器—————————————————————134.4.5.2 数据选择器———————————————————144.4.5.3 七段译码器———————————————————144.5 分配引脚和下载实现————————————————————-144.6 实验结果及仿真——————————————————————-15 第五章结论———————————————————————————155.1 实验结论—————————————————————————155.2 ⼼得体会—————————————————————————15参考⽂献———————————————————————————16 致谢—————————————————————————————16 附录————————————————————————————17第⼀章引⾔随着现代电⼦科技的发展,各种新型的电⼦产品层出不穷,⽽⾼精度的电⼦秒表作为电⼦产品的⼀部分,在⼈们的⽇常⽣产、⽣活中发挥着极其重要的作⽤。
秒表实验报告_2
实验八秒表一、实验目的:1、了解数字秒表的工作原理。
2、进一步熟悉用VHDL语言编写驱动七段数码管的代码。
3、掌握VHDL编写中的一些小技巧。
二、实验要求:实现数字秒表功能,要求有分,秒,1%秒显示,该秒表能够随时控制启/停,清零重新计时功能。
三、实验原理秒表的工作原理与多功能数字电子钟大致相同,唯一不同的是,由于秒表的分辨率为0.01秒。
所以整个秒表的工作时钟是在100HZ的时钟信号下完成的。
假设该秒表的应用场合小于1小时,秒表的显示格式为mm~~ss~~xx(mm表示分钟:0~59;ss表示秒:0~~59;xx表示百分之一秒:0~~99)。
四、实验步骤1、用VHDL语言编写出秒表电路程序,通过QuartusII 进行编辑、编译、综合、适配、仿真测试。
给出其所有信号的时序仿真波形。
2、按实验要求锁定管脚,重新综合。
3、在EDA6000软件中建立实验模式。
4、下载设计文件,硬件验证秒表工作性能。
五、实验结果1、调试的过程记录在仿真图正确后开始用EDA6000进行检验,所有的管脚都连接好后,通入100Hz的脉冲,秒表开始工作2、实验结果经过调试得到了正常工作的秒表,每一个环节的跳转过程都是正常的最终的波形图:3、实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity stopwatch isport(clk,rst,en:in std_logic;minh,minl,sech,secl,msh,msl:out std_logic_vector(3 downto 0)); end entity;architecture behav of stopwatch issignal minhi,minli,sechi,secli,mshi,msli:std_logic_vector(3 downto 0); signal clk1,clk2:std_logic;beginprocess(clk,en,rst)beginif rst='1' then mshi<="0000";msli<="0000";elsif clk'event and clk='1' thenif en='1' thenif (mshi="1001" and msli="1001") thenmshi<="0000";msli<="0000";clk1<='1';elsif msli="1001" thenmsli<="0000"; mshi<=mshi+1;else msli<=msli+1;clk1<='0';end if;end if;end if;end process;process(clk1,en,rst)beginif rst='1' then sechi<="0000";secli<="0000";elsif clk1'event and clk1='1' thenif en='1' thenif (sechi="0101" and secli="1001") thensechi<="0000";secli<="0000";clk2<='1';elsif secli="1001" thensecli<="0000"; sechi<=sechi+1;else secli<=secli+1;clk2<='0';end if;end if;end if;end process;process(clk2,en,rst)beginif rst='1' then minhi<="0000";minli<="0000";elsif clk2'event and clk2='1' thenif en='1' thenif (minhi="0101" and minli="1001") thenminhi<="0000";minli<="0000";elsif minli="1001" thenminli<="0000"; minhi<=minhi+1;else minli<=minli+1;end if;end if;end if;end process;msh<=mshi;msl<=msli;sech<=sechi;secl<=secli;minh<=minhi;minl<=minli; end behav;。
EDA秒表实验报告
《EDA课程设计——秒表》题目数字秒表学院信息学院专业电子信息工程班级 11电子A姓名朱彦杰学号指导教师凌朝东课题名称秒表完成时间11.28指导教师凌朝东学生姓名朱彦杰班级11电子A总体设计要求和技术要点设计要求:5. 秒表,难度系数0.9要求:计时范围为0∼59 分59 秒,精度为百分之一秒;能同时显示分秒信息(LED 数码管)。
技术要点:1.利用VHDL语言设计基于计算机电路中时钟脉冲原理的数字秒表。
该秒表计时范围为0秒~59分59.99秒,显示的最长时间为59分59秒,计时精度为10毫秒以内,具有复位功能。
2.秒表有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出。
一、系统组成模块连接图和系统框图- 3 -二、模块器件及其程序1、分频模块及其程序本模块实现脉冲分频,本实验使用的EP2C5T144C8的频率计进行50MHz 分频产生100HZ 的脉冲。
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpin ISPORT ( CLK: IN STD_LOGIC; OUTCLK: out std_logic ); END fenpin;ARCHITECTURE behav OF fenpin IS BEGINPROCESS( CLK )variable cnt:integer range 0 to ; BEGINIF CLK'EVENT AND CLK = '1' THEN if cnt= then cnt:=0; outclk<='1'; elsecnt:=cnt+1;分频器十进制计数器 时钟频率十进制计数器 十进制计数器六进制计数器十进制计数器 六进制计数器输出到LED开始清零outclk<='0';end if;END IF;END PROCESS;END behav;2、十进制程序产生99毫秒、秒的低位、分的低位的功能。
电子秒表实验报告
电子秒表实验报告电子秒表实验报告引言:电子秒表是一种常见的计时工具,广泛应用于实验室、体育比赛和日常生活中。
本实验旨在通过对电子秒表的使用和测量,深入了解其工作原理和准确性。
实验目的:1. 理解电子秒表的工作原理;2. 掌握正确使用电子秒表的方法;3. 比较电子秒表与传统秒表的准确性。
实验材料和方法:1. 实验材料:电子秒表、传统秒表、计时器、待测物体;2. 实验方法:a. 将电子秒表和传统秒表校准至同一起点;b. 使用电子秒表和传统秒表分别计时待测物体的时间;c. 重复多次实验,记录数据并计算平均值;d. 比较电子秒表和传统秒表的准确性。
实验结果与讨论:通过多次实验,我们得到了以下数据:实验次数 | 电子秒表计时(s) | 传统秒表计时(s)---------------------------------------1 | 10.23 | 10.202 | 10.21 | 10.183 | 10.25 | 10.224 | 10.24 | 10.195 | 10.22 | 10.21通过计算平均值,我们可以得到电子秒表的平均计时为10.23秒,传统秒表的平均计时为10.20秒。
可以看出,两者的计时结果非常接近,差距在0.03秒以内。
这个结果表明,电子秒表在准确性方面与传统秒表相当。
其准确性主要依赖于内部的计时装置,通常采用晶体振荡器,其频率非常稳定。
而传统秒表则依赖于人工操作,容易受到人为因素的影响,如反应时间和手动操作的误差。
此外,电子秒表还具有其他优点。
首先,它可以提供更精确的计时结果,小数点后几位的精度可以满足实验的要求。
其次,电子秒表通常具有计时、计数、暂停和复位等功能,更加灵活方便。
最后,电子秒表还可以记录多次计时结果,并进行平均值计算,提高数据的可靠性。
然而,电子秒表也存在一些局限性。
首先,它依赖于电池供电,一旦电池耗尽,计时功能将无法使用。
其次,对于某些特殊实验,如高温、高压环境下的计时,电子秒表可能无法正常工作。
数字秒表设计实验报告(一)
数字秒表设计实验报告(一)数字秒表设计实验报告Introduction•实验目的:设计并实现一个数字秒表•实验时间:2021年10月10日至2021年10月15日•实验对象:本科计算机专业学生•实验设备:计算机、编程软件Experiment Procedure1.寻找合适的编程语言和开发工具2.设计秒表的用户界面3.编写代码实现秒表的计时功能4.测试并调试代码5.完善用户界面,添加重置和暂停功能6.进行性能测试,并分析结果Experimental Findings•选用Python编程语言和PyQt图形库进行开发•按照用户界面设计,实现了秒表的计时功能•通过测试,发现秒表计时准确性较高,误差范围小于0.1秒•添加了重置和暂停功能,提高了秒表的实用性•性能测试表明,在处理大数据量时,秒表的响应速度仍然较快Conclusion通过本次实验,我们成功设计并实现了一个功能完善的数字秒表。
通过合理的编程语言选择和用户界面设计,实验结果表明,我们的秒表具有准确的计时功能、良好的用户体验和较高的性能。
这对于计算机专业学生来说,具有较高的实用价值。
Future Work尽管我们已经取得了较好的实验结果,但仍有一些改进的空间。
在未来的工作中,我们计划:•进一步提高秒表的计时准确性,减小误差范围•探索更多的用户界面设计方案,增加更多便利的功能•优化性能,提高秒表在处理大数据量时的响应速度•结合云服务,实现秒表数据的备份和同步功能Acknowledgements感谢实验组的所有成员共同努力,以及指导老师的支持和指导,使得本次实验取得了圆满成功。
Reference无抱歉,关于数字秒表设计实验报告的文章已经终止。
单片机秒表实验报告
单片机课程设计说明书题目:电子秒表学生姓名:专业:班级:指导教师:日期:目录第一章单片机课程设计任务书 (1)一、目的意义 (1)二、设计时间、地点和班级 (1)三、设计内容 (1)四、参考电路图形 (2)五、单片机的相关知识 (3)第二章硬件设计 (5)一、单片机简介 (5)二、电源电路 (5)三、晶振振荡电路 (5)四、复位电路 (5)五、显示电路 (6)六、键盘电路 (6)七、硬件主电路图设计 (7)八、元件清单 (7)第三章软件设计 (8)一、软件设计概述 (8)二、主程序流程图 (8)三、程序中各函数设计 (8)四、C语言主程序设计 (10)第四章课程设计体会 (13)..参考文献 (14)五、单片机相关知识本课题在选取单片机时,充分借鉴了许多成形产品使用单片机的经验,并根据自己的实际情况,选择了AT89C51。
AT89C51单片机采用40引脚的双列直插封装方式。
图1.2为引脚排列图,40条引脚说明如下:主电源引脚Vss和Vcc①Vss接地②Vcc正常操作时为+5伏电源外接晶振引脚XTAL1和XTAL2①XTAL1内部振荡电路反相放大器的输入端,是外接晶体的一个引脚。
当采用外部振荡器时,此引脚接地。
②XTAL2内部振荡电路反相放大器的输出端。
是外接晶体的另一端。
当采用外部振荡器时,此引脚接外部振荡源。
图1.2 AT89C51单片机引脚图控制或与其它电源复用引脚RST/VPD,ALE/PROG,PSEN和EA/Vpp①RST/VPD 当振荡器运行时,在此引脚上出现两个机器周期的高电平(由低到高跳变),将使单片机复位在Vcc掉电期间,此引脚可接上备用电源,由VPD向内部提供备用电源,以保持内部RAM中的数据。
②ALE/PROG正常操作时为ALE功能(允许地址锁存)提供把地址的低字节锁存到外部锁存器,ALE 引脚以不变的频率(振荡器频率的1/6)周期性地发出正脉冲信号。
因此,它可用作对外输出的时钟,或用于定时目的。
电子秒表设计实验报告
电子秒表设计实验报告淮阴工学院《数字电子技术》课程实验期末考核2014-2015学年第2学期实验名称: 电子秒表电路的设计级:学号:姓名:学院:电子与电气工程学院专业:自动化别:自动化指导教《数字电子技术》实验指导教师班级:电气3101 姓名:奚倩学号:02 课题:红外倒车防撞报警器师:组成绩:2015年07月电子秒表电路的设计一、实验目的1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、 译码显示等单元电路的综合应用。
2. 学习电子秒表的调试方法。
二、实验原理图11 - 1为电子秒表的电原理图。
按功能分成四个单元电路进行分析接译码显示器_ A ____________图11-1电子秒表原理图+5V sQ D Q C Q B Q C CP2Tcc 74LS90(3)CPiQ D Q C Q B Q C C?2V CC 74LS90(2)CP I Rfi(l)RM2)S9tl)S*2〕DioRoCi)Ro(21S3(llS3(2)Dj-J ^Vcc74LS90(l)CP?M aRo(iUto(2)S9(l)S9(2)DL ~~-― ------- --- =2 3 e 7 10BC K工:F4T*p敢9IQQ1.基本RS触发器图11 - 1中单元I为用集成与非门构成的基本RS触发器。
属低电平直接触发的触发器,有直接置位、复位的功能它的一路输出。
作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。
按动按钮开关& (接地),则门1输出=1 ;门2输出Q = 0 , &复位后Q、◎状态保持不变。
再按动按钮开关K1 ,则Q由0变为1 ,门5开启, 为计数器启动作好准备。
由1变0 ,送出负脉冲,启动单稳态触发器工作。
基本RS触发器在电子秒表中的职能是启动和停止秒表的工作。
2.时钟发生器图11 - 1中单元川为用555定时器构成的多谐振荡器,是一种性能较好+5¥图3的时钟源调节电位器R W ,使在输出端3获得频率为50HZ 的矩形波信号,当基本RS 触发器Q 二1时,门5开启,此时50HZ 脉冲信号通过门5作为计数脉冲加于 计数器①的计数输入端 CP2。
实验5.电子秒表显示器
,0x7d,0x07,0x7f,0x6f};//0-9数码管段码
timer0() interrupt 1//T0中断函数声明
/*以下函数实现秒计数
实现方式为:
count自增,若count=20则count清零,s增1*/
软件编程原理:采用T0定时方式1中断法编程,其中1s定时采用20次50ms定时采用20次50ms定时中断的方案实现,编程流程图如图A.66所示。
【实验内容】
(1)理解定时器的工作原理,完成定时中断程序的编写与调试;
(2)练习μVision3与ISIS的联机仿真方法。
【实验步骤】
(1)提前阅读与实验5相关的阅读材料;
while(1);//无限循环
}【仿真截ຫໍສະໝຸດ 】略【实验小结】通过这次实验,我掌握C51程序编写与调试方法,并且对定时器和计数器的工作原理有了一定的了解,学会了如何编写中断程序及如何使用定时器和计数器完成一些功能,在以后的学习过程中还要多动手练习。
(2)参考图A.65;和表A.6,在ISIS中完成原理图的绘制;
(3)在KeilμVision3中编写和编译C51程序,并生成可执行文件;
(4)在μVision3中启动ISIS的仿真运行,并进行联机调试。
【实验要求】
提交实验报告并包括如下内容:电路原理图、C51源程序(含注释语句)、软件调试分析、仿真运行截图及实验小结。
R2~8/1k
RES
Resistors
R1/100Ω
RES
Optoelectronics
LED1~LED2
7SEG-COM-CAT-GRN
FPGA电子秒表计时器verilog实验报告
华中科技大学《电子线路设计、测试与实验》实验报告实验名称:用EDA技术设计多功能数字钟院(系):电子信息与通信学院专业班级:姓名:学号:时间:地点:实验成绩:指导教师:2018 年 3 月 27 日一. 实验任务及要求基本要求:电子秒表1)可计时的范围0.00s~99.99s(显示用七段数码管,显示小数点)。
2)能够暂停,能够在计时结束使用灯光或者声音报警提示。
提高要求: PWM波产生器1)可输出占空比按10%递进的PWM波(示波器测量查看)。
二.实验条件实验板:Nexys4 DDR实验软件:ISE14.7,ModelSim三.预习要求1.NEXYS 4 DDR开发板说明。
2.有限状态机。
3.数码管扫描显示。
四.实验原理1.电子秒表设计框图模块分析1)分频模块(Divider.v)将系统给定的100MHZ 的频率通过分频模块变成100Hz 的clk(用来计时)和4000Hz的clk_seg(用来扫描数码管)。
代码如下:原理:输入的100MHz 的信号为CLK_100MHz,每当CLK_100MHz 上升沿来时,Count_DIV 计数加1,且每当Count_DIV =100M/(2*100)=0.5M 时,CLK_Out取反一次并且Count_DIV <=0,这样会得到一个100Hz 的信号。
当需要得到4000Hz的clk_seg时,在顶层模块中修改parameter OUT_Freq=4000;这样,每当Count_DIV=100M/(2*4000)=12500时,CLK_Out取反一次并且Count_DIV <=0,这样会得到一个4000Hz 的信号。
在主程序中修改参数如下:仿真时,为便于观察,在testbench中,将CLK_100MHz的周期设为2ns:always #1 CLK_100MHz <= ~CLK_100MHz;并修改参数如下,验证分频模块的正确性(图中数字16,8,1只表示频率的倍数关系,并非真正的频率)其仿真图如下图:从图中可以看出,CLK_100MHz的周期为2ns,clk_seg的周期为4ns,clk的周期为32ns,符合倍数关系,故分频模块的正确性得到验证。
电子秒表设计实验报告
淮阴工学院《数字电子技术》课程实验期末考核2014-2015学年第2学期实验名称:电子秒表电路的设计班级:学号:姓名:学院:电子与电气工程学院专业:自动化系别:自动化指导教师:《数字电子技术》实验指导教师组成绩:2015年07月电子秒表电路的设计一、实验目的1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。
2 .学习电子秒表的调试方法。
二、实验原理图11 -1 为电子秒表的电原理图。
按功能分成四个单元电路进行分析。
1.基本RS 触发器图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。
属低电平直接触发的触发器,有直接置位、复位的功能。
它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。
按动按钮开关K2(接地),则门1 输出=1 ;门2 输出Q =0 ,K2复位后Q 、状态保持不变。
再按动按钮开关K1 , 则Q 由0 变为1 ,门5 开启, 为计数器启动作好准备。
由1 变0 ,送出负脉冲,启动单稳态触发器工作。
基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。
2. 时钟发生器图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好的时钟源。
调节电位器R W,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于计数器①的计数输入端CP2。
图11-2 单稳态触发器波形图图11-3 74LS90引脚排列3.计数及译码显示二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图11 -1 中单元Ⅳ所示。
其中计数器①接成五进制形式,对频率为50HZ 的时钟脉冲进行五分频,在输出端Q D取得周期为0.1S 的矩形脉冲,作为计数器②的时钟输入。
计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1 ~0.9 秒;1 ~9 秒计时。
实验五――电子秒表显示器
实验五――电子秒表显示器中国石油大学(北京)单片机原理及应用试验。
电子秒表显示器!中国石油大学(北京)实验课程:实验名称:实验报告单片机原理及应用实验五――电子秒表显示器中国石油大学(北京)单片机原理及应用试验。
电子秒表显示器!一、实验目的掌握定时/计数器的工作原理,熟悉定时/计数器中断法编程与调试内容。
二、实验内容1、按照教材图A.65,绘制实验五电路原理图;2、采用12MHz晶振,50ms T0定时方式1+中断(20次中断为1s)法编程;3、实现如下功能:程序启动时显示“00”,以后每隔1秒显示值加1,显示到59后,自动从“00”开始,如此无限往复。
3、观察仿真结果,完成实验报告。
三、实验要求提交的实验报告中应包括:电路原理图,T0定时方式1工作原理阐述,源程序(编程思路说明与源程序),仿真效果(运行截图与讨论),实验小结(结论与体会)。
其中讨论内容为:当前编程方案的不足之处?可以如何改进?提交实验报告的电子邮件主题及存盘文件名格式如,20XX 年0*****马晓明实验五。
中国石油大学(北京)单片机原理及应用试验。
电子秒表显示器!1、电路原理图图1 电路原理图2、T0定时方式1工作原理阐述T1T0脚T0TF0查询/中断TR0图2 T0定时/计数方式1逻辑结构图CT原理时,T0为定时器工作方式。
逻辑开关CT向上接通,此时以振荡器的12分频信号作为T0的计数信号。
若GATE=0,定时器T0的启动和停止完全由TR1的状态决定,而与INT0无关。
中国石油大学(北京)单片机原理及应用试验。
电子秒表显示器!已知T0方式1由高8位THx和低8位TLx组成一个16位的加一计数器。
若想要产生一个长度为t的定时,则需要为计数器赋初值a。
a = 216 C t* fos / 12 ,将a值得高8位赋给THx,低8位赋给TLx。
如此,当晶振产生时间长度为t的脉冲时,计时器发生溢出,使得TF0=1,从而触发了中断响应。
电子科技大学数电实验报告
assign disp_data_right2=Q_2;
assign disp_data_right3=Q_3;
assign disp_data_right4=Q_4;
assign disp_data_right5=Q_5;
dynamic_led6 u6 (
.disp_data_right0(disp_data_right0),
.disp_data_right1(disp_data_right1),
.
disp_data_right2(disp_data_right2),
.
disp_data_right3(disp_data_right3),
.disp_data_right4(right5(disp_data_right5),
);
reg[24:0] clk_div_cnt=0; reg clk_div=0; always @ (posedge clk) begin
if (clk_div_cnt==25000) begin
clk_div=~clk_div; clk_div_cnt=0; end else
clk_div_cnt=clk_div_cnt+1; end
4'h6: seg=8'h7d; 4'h7: seg=8'h07; 4'h8: seg=8'h7f; 4'h9: seg=8'h6f; 4'ha: seg=8'h77; 4'hb: seg=8'h7c; 4'hc: seg=8'h39; 4'hd: seg=8'h5e; 4'he: seg=8'h79; 4'hf: seg=8'h71; default: seg=0; endcase end
电子技术综合实验报告-秒表
流 交 习 学 供 仅
程序 1)分频程序
module fenpin100Hz(clk,hz,hz_1k); input clk; output reg [3:0]hz;
output reg [15:0]hz_1k;
if(hz_1k==47999) hz_1k<=0; else hz_1k<=hz_1k+1;
always @(negedge hz_1k[16]) if(hz==9)
hz<=0; else
结果用 8 只数码管显示;有两个按钮开关,一个按钮使秒表复位,
另一个按钮控制秒表的启动/暂停。
下图显示了该跑表前面板的基本排布构想。
Stopwatch
POWER
RESET
RUN/STOP
(五)实验内容:Verilog 的语法,建模,设计时间测量的方法:
使用 现在时间测量一般采用数字计器来进行
(六) 实验原理
module jishu_jinwei(hz,rst,stop,n_1,n_2,n_3,n_4,n_5,n_6); input hz; input stop; input rst; output reg [3:0]n_1,n_2,n_3,n_4,n_5,n_6;
wire [3:0]cnt_1,cnt_2,cnt_3,cnt_4,cnt_5,cnt_6; *//Jinwei U2、U3、U5、U9 是十进制位,jinwei_6 U4、U6 是六进制位//*
input [3:0]num; output reg [6:0]led; 译码仿真图
用
使
பைடு நூலகம்
电子秒表的设计实验报告
电子秒表的设计实验报告
《电子秒表的设计实验报告》
摘要:本实验旨在设计一款简单易用的电子秒表,通过实验验证其准确性和稳定性。
实验结果表明,所设计的电子秒表具有较高的准确性和稳定性,能够满足实际使用需求。
引言:电子秒表是一种用于测量时间的工具,广泛应用于实验室、体育比赛和工业生产等领域。
设计一款准确可靠的电子秒表对于提高工作效率和数据准确性具有重要意义。
因此,本实验旨在设计一款简单易用的电子秒表,并通过实验验证其性能。
实验方法:首先,我们选取了一款常用的电子元件,包括计时电路、显示屏和按键等。
然后,我们根据设计要求,进行了电路连接和程序编写。
接着,我们对设计的电子秒表进行了一系列的实验,包括准确性测试、稳定性测试和耐用性测试等。
实验结果:经过实验验证,我们设计的电子秒表具有较高的准确性和稳定性。
在准确性测试中,我们对比了设计的电子秒表与标准秒表的计时结果,发现两者基本一致。
在稳定性测试中,我们对设计的电子秒表进行了长时间计时,结果显示其计时稳定性良好。
在耐用性测试中,我们对设计的电子秒表进行了反复按键操作,发现其按键灵敏度和耐用性均符合设计要求。
结论:通过本实验,我们成功设计了一款简单易用的电子秒表,并验证了其准确性和稳定性。
该电子秒表具有较高的性能表现,能够满足实际使用需求。
未来,我们将进一步改进设计,提高电子秒表的功能和性能,以满足更广泛的应用需求。
致谢:感谢实验室的老师和同学们对本实验的支持和帮助,感谢他们的耐心指导和建设性意见。
同时,也感谢所有参与本实验的人员,他们的辛勤劳动为本实验的顺利进行提供了保障。
电子秒表的设计实验报告
电子秒表的设计实验报告电子秒表的设计实验报告一、引言在现代科技高度发达的社会中,电子秒表作为一种常见的计时工具,被广泛应用于各个领域。
本次实验旨在设计一个简单且实用的电子秒表,通过实际操作和数据分析,探索电子秒表的原理和功能。
二、实验目的1. 了解电子秒表的基本原理和结构;2. 掌握电子秒表的设计方法和实验操作;3. 分析电子秒表的精度和稳定性。
三、实验材料与方法1. 实验材料:电子元件、电路板、电源、计算机等;2. 实验方法:a. 按照电子秒表的设计要求,搭建电路;b. 连接电源,启动电子秒表;c. 进行计时实验,记录数据;d. 分析实验结果。
四、实验步骤1. 设计电路图:根据电子秒表的功能需求,设计电路图,并确保电路的稳定性和可靠性。
2. 搭建电路:根据电路图,将电子元件连接到电路板上,并进行焊接。
3. 连接电源:将电路板与电源连接,确保电子秒表正常工作。
4. 启动电子秒表:按下启动按钮,开始计时。
5. 进行计时实验:使用标准计时器,同时启动电子秒表和标准计时器,进行时间对比。
6. 记录数据:记录电子秒表和标准计时器的计时结果,并计算误差。
7. 分析实验结果:比较电子秒表和标准计时器的计时精度和稳定性,分析实验结果的可靠性。
五、实验结果与分析通过多次实验,记录了电子秒表和标准计时器的计时结果,并计算了误差。
实验结果显示,电子秒表的计时误差较小,精度和稳定性较高,能够满足实际使用的需求。
然而,由于实验条件的限制,电子秒表的计时精度仍有进一步提高的空间。
六、实验总结本次实验成功设计了一个简单实用的电子秒表,并通过实验验证了其计时精度和稳定性。
电子秒表作为一种常见的计时工具,在科学研究、体育竞技等领域具有广泛的应用前景。
然而,电子秒表的设计和制造仍需不断改进,以提高其计时精度和稳定性。
七、改进方向1. 优化电路设计:通过改进电路结构和选用更好的电子元件,提高电子秒表的计时精度和稳定性。
2. 加强测试和校准:定期对电子秒表进行测试和校准,确保其计时结果的准确性。
电子秒表实验报告
综合性实验——电子秒表
一、实验目的
1、掌握电子系统的启动与停止单元电路的构成
2、掌握时钟信号的产生、计数、译码及显示电路的工作原理及电路组成方法
3、掌握不同类型芯片间接口电路的应用
4、掌握电子系统的调试与性能测试
二、实验仪器
1、双踪示波器、函数信号发生器、直流电源、IC测试仪、万用电表、数字电路实验箱
2、共阴LED、CD4511、555定时器、74LS74、74LS90、74LS00、电阻、电容、电位器
三、设计原理
1、基本RS触发器启动和停止秒表的工作
2、单稳态触发器为计数器提供清零信号
3、555定时器构成多谐振荡器,作为时钟源
4、加法计数器构成电子秒表的技术单元
5、译码显示电路显示出电子秒表的内容
四、实验步骤
1、按图连接电子秒表接线
2、复位电路调试
3、时钟信号电路调试
4、计数电路调试
5、译码及显示电路调试
五、设计过程
弄清此次实验基本目的及所需实验仪器→了解电子秒表基本工作原理→预测此次实验的现象和觉果→画出电路图→实验仿真→误差与故障分析→实验收获与体会
二、电路图和仿真图。
数字秒表设计实验报告
数字秒表设计实验报告数字秒表设计实验报告1. 引言•简要介绍实验的目的和意义2. 设计原理•介绍数字秒表的基本原理和工作流程3. 实验步骤•列出实验的具体步骤和操作流程4. 实验结果分析•分析实验过程中的数据和观测结果•对实验结果进行解释和讨论5. 实验结论•给出实验的总结和结论6. 实验改进•提出对实验的改进建议和优化方案7. 参考资料•引用相关的文献和资料来源8. 附录•将实验过程中的数据、图表等附加在文末作为附录以上为一个大致的框架,具体内容根据实验的实际情况进行填写。
本实验报告使用Markdown格式,通过使用标题和列表等语法,使文章更加清晰易读。
注意,为了遵守规则,本文中不包含实际的字母、图片或网址。
希望这份指导对你有所帮助!数字秒表设计实验报告1. 引言•实验目的:本实验旨在设计一个数字秒表,用于测量时间,并掌握数字电路的设计原理和实践技能。
•实验意义:准确测量时间是科学研究和生产实践中的重要要求,数字秒表作为计时测量的常用工具,具有广泛的应用价值。
2. 设计原理•数字秒表的基本原理是利用稳定的时钟信号源产生时间基准,通过计数器、时钟分频电路和显示模块实现对时间的测量和显示。
3. 实验步骤1.首先确定秒表的最高位数,根据实际需求选择适当的位数。
2.设计计数器电路,使用计数器芯片进行计数,根据最高位数确定计数器的范围。
3.设置时钟分频电路,通过将时钟信号分频得到适合计数器工作的时钟频率。
4.连接计数器和时钟分频电路,确保二者能够正确配合。
5.设计显示模块,将计数器的输出转换为数字形式,用于显示具体的时间数值。
6.连接显示模块和计数器,进行正确的信号传递和信息显示。
7.进行测量和验证,检查秒表的测量准确性并进行调整。
4. 实验结果分析•对实验过程中的数据和观测结果进行分析•通过比较测量结果与标准时间的差异,评估秒表的准确性•分析秒表存在的潜在问题并提出解决方案5. 实验结论•总结实验设计和实验过程•归纳出实验结果和分析的要点•得出对设计的数字秒表的结论,包括准确性、可靠性和实用性等方面的评价6. 实验改进•针对实验中发现的问题,提出改进的建议和优化方案•探讨可能的改进措施,包括电路设计、算法优化、显示方式等方面的改进7. 参考资料•[1] 电子技术实验教程,XXX出版社•[2] 数字电路原理与设计,XXX出版社8. 附录•实验数据表格•电路图和连接图•实验中使用的元器件清单以上为数字秒表设计实验报告的大致框架,实验的具体内容和结果分析部分需要根据实际情况进行填写。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电子技术设计性实训报告
学号:211002146
姓名:邱富烨
同组人:夏文彬
班级:03班
指导老师:林雪健
日期:2012.09.07
目录
一.实训目的---------------------------------------------------3二.设计功能要求---------------------------------------------3 三.电路设计---------------------------------------------------4 (一)电路框图--------------------------------------------4 (二)单元电路分析-------------------------------------4四.设计总图及其工作原理---------------------------------5 (一)工作原理--------------------------------------------5 (二)元件清单--------------------------------------------5五.电路调试--------------------------------------------------6
(一) 调试过程--------------------------------------------6
(二)故障分析与排除-----------------------------------7六.实训心得---------------------------------------------------8
一. 实验目的
1. 对芯片74LS160芯片以及555的功能的更形象的认知。
2.增强使用EWB软件的能力。
3.进一步提高独立分析问题和解决问题的能力。
4.掌握数字系统的分析和设计方法。
5.对数字集成电路的综合应用有进一步的认识和理解。
二. 设计题目:制作一个简易的电子秒表
功能要求:
1具有两位数码显示。
分别显示1/10秒和秒计数。
2有两个按键分别控制启动(开始计时)/停止和清零。
功能表如下:
要完成题目要求的电子秒表功能,系统应具有如下几部分电路:
1.定时电路;题目要求最小计时单位为1/10秒,即100ms。
这部分电路必须能准确的产生周期为100ms(频率为10Hz)的时钟信号。
2.计时电路:题目要求系统具有两位显示器,分别显示秒和1/10秒信号。
所以本系统应具有两个十进制计数器,分别对定时信号进行计数,以产生1/10秒和秒计数。
系统计数范围从0.0~9.9秒。
3.显示译码驱动电路:将计数器的计数结果(BCD码)通过译码器译成七段显示码并驱动LED数码管显示出来。
4.控制电路:根据题目要求,本电子秒表应具有两个按键。
其中一个控制秒表的启/停,本按键应有自锁功能,按一次启动计时,再按一次停止计时。
另一个按键控制清“0”,本按键不需自锁,按下时系统清“0”;放开时系统回复正常计时功能。
系统电路结构框图如图1所示。
振荡器计数器译码器显示
清“0”启动/停止
图1 系统结构框图
四、电路设计方案:
1、定时电路:系统的定时电路要求产生周期为100ms的时钟信号。
由于在此实验前我们学过555定时器,故可用时钟信号发生器来实现。
定时器是电子秒表的核心,其作用是产生一个标准频率10赫兹的脉冲信号。
振荡频率的精度和稳定度决定了秒表的质量(如图2),图3为脉冲信号宽度。
100ms时钟
信号输出
100ms时钟信号发生器
图2 时钟信号发生器
2、计时电路:本电路需要两位十进制加法计数器,对定时电路的时钟信号进行计数。
可用两片74LS160实现。
74LS160是同步十进制加法计数器,其功能表如下:
CP RD LD EP ET 工作方式
X 0 X X X 置零
上升沿 1 0 X X 预置数
X 1 1 0 1 保持
X 1 1 X 0 保持
上升沿 1 1 1 1 计数
式和并行进位方式两种。
串行进位方式接法如图3所示。
图3 串行进位方式连接图
在串行进位方式中,将高位的74LS160的CP与低位的进位端C相接,当低位计数器计满产生进位时就会给高位计数器一个脉冲,使高位计数器加1。
图4、并行进位方式连接图
并行进位方式接法如图4所示。
它是将高低位计数器的CP接在一起并将低位计数器的进位C与高位计数器的EP接起来。
当低位计数器尚未计满时,其进位端C输出低电平使高位计数器处于保持状态(不计数);当低位计数器计满产生进位时,C输出高电平使高位计数器处于计数状态,定时脉冲一到高位计数器加1。
同时低位计数器回零,C输出低电平,高位计数器又处于保持状态直到第二个进位脉冲的到来。
3、显示译码电路
显示译码电路根据显示器件的不同可有不同的器件选择,如74LS47(适合于驱动共阳接法的LED数码管)和74LS48(适合于驱动共阴接法的LED数码管),本实验提供的器件为共阴LED数码管,所以选用74LS48。
其电路为如下图5:
图5、74LS48与共阴LED数码管组成的译码显示电路
4、控制电路
系统要求具有清“0”和启/停按键。
对于清零功能,根据计数器74LS160的功能表,我们知道,74LS160提供了清零引脚,只要将该引脚置低电平,计数器既实现清零功能。
具体接法如图6。
图6、实现清零功能电路
对于启/停控制,我们从74LS160的功能表可知,当ET端为低电平,计数器将处于保持状态(停止记数)。
当ET端为高电平且其他控制引脚均满足计数条件时,计数器才开始计数。
我们可采用图7的电路来实现启/停控制。
当开关按下时,ET=“0”;放起时,ET=“1”。
五、应用EWB电子仿真软件进行设计仿真
1、定时电路的仿真调试
在计算机上运行EWB并调出时钟发生信号仿真运行达到预定目标,电路产生周期为100ms 的时钟信号。
2、计时及控制电路。
按并行进位方式接法,电路工作正常。
按串行方式接法,结果出现了当低位计数到“9”时,高位立即显示“1”的情况。
经分析,原来74LS160的进位C属于超前进位。
当低位计数到“9”时,进位端即产生一从“0”到“1”的跳变。
而74LS160的CP输入端是上升沿有效的,此时高位的计数器即从“0000”变为“0001”了,所以出现了“19”的显示结果。
要解决这一问题,只需将进位信号作为控制ENT端的一个信号,因为ENT为高电平有效,所以进位“0”到“1”的跳变不用担心超前进位而产生“19”
的情况。
图8 并行进位示意图
3、显示译码电路
按设计方案接线,电路逻辑正常。
由上设计方案,该实现电子秒表功能的电路如下图9:
图9、实现电子秒表功能的电路
4、测试结果:
本电路通过两个开关R、Space来实现电路的控制启动(开始计时)/停止和清零,其测试结果为:
功能
开关R 开关
Space
0 0 清零并停止计时
1 0 准备开始计时
1 1 启动计时
1 0 停止计时
两个数码管显示的数字为00-99,即系统计数范围从0.0~9.9秒。
由此可知,该电路测试结果正确。
实物图:
六、总结
通过了这次的计数器电路的设计以及硬件电路的实现,我更好地掌握了各方面的知识。
首先是74LS160的功能,懂得实际应用上,应用串行和并行方式进行连接电路实现计数器的级联电路,进一步可以利用多片芯片设计各进制的计数电路。
通过设计重启和停止,启动计数键,我更好的理解了74LS160各引脚的功能和用法。
在利用555产生时钟信号时,我也学会了用这个芯片输出不同的频率的信号。
利用软件进行仿真时,我对EWB软件的功能有了进一步的认识并且会用其他一些复杂一些的功能。
学这门课就应该实践和理论结合在一起,像这次做计数器就是一个很好的途径。
因为这样可以加深对理论知识的理解,还可以增强个人的动手能力以及设计、开放的能力。