毕业设计58VGA显示控制模块硬件及软件设计
VGA图像显示课程设计
VGA图像显示课程设计一、课程目标知识目标:1. 学生能够理解VGA图像显示的基本原理,掌握VGA接口的信号标准及其功能。
2. 学生能够描述VGA图像显示的信号处理流程,包括图像采集、处理、传输和显示。
3. 学生能够解释VGA图像显示中涉及的颜色模型和分辨率概念。
技能目标:1. 学生能够运用所学知识,正确连接VGA接口设备,进行图像显示的设置和调试。
2. 学生能够运用VGA图像显示的相关软件和硬件工具,解决简单的显示故障问题。
3. 学生能够设计简单的VGA图像显示实验,观察和分析图像显示效果。
情感态度价值观目标:1. 学生通过学习VGA图像显示,培养对电子信息技术和图像显示领域的兴趣和热情。
2. 学生在团队协作中进行VGA图像显示的实践操作,增强合作意识和沟通能力。
3. 学生在学习过程中,培养解决问题的耐心和毅力,树立正确的价值观,关注科技发展对社会生活的影响。
课程性质:本课程为信息技术课程,以实践操作为主,理论讲解为辅。
学生特点:学生为八年级学生,具备一定的信息技术基础,对图像显示有一定了解,喜欢动手实践。
教学要求:教师应注重理论与实践相结合,引导学生通过实践操作掌握VGA 图像显示的相关知识,提高学生的实际操作能力和问题解决能力。
同时,关注学生的情感态度价值观培养,使学生在学习过程中形成积极的学科兴趣和价值观。
通过分解课程目标为具体学习成果,为后续教学设计和评估提供依据。
二、教学内容1. VGA图像显示原理:讲解VGA接口标准,包括分辨率、刷新率、颜色模型等基本概念,使学生理解VGA图像显示的基础知识。
教材章节:第二章“图像显示技术”第二节“VGA显示原理”2. VGA接口信号标准:介绍VGA接口的信号类型,包括RGBHV、RGBS、RGS等,以及其信号传输特点。
教材章节:第二章“图像显示技术”第三节“VGA接口信号标准”3. 图像显示处理流程:讲解图像从采集、处理、传输到显示的整个过程,分析各个环节的关键技术。
毕业设计-基于FPGA的VGA显示
VGA显示器控制电路论文前言VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。
利用FPGA 芯片和EDA设计方法,可以因地制宜,根据用户的特定需要,设计出针对性强的VGA显示控制器,不仅能够大大的降低成本,还可以满足生产实践中不断变化的用户需要,产品升级换代方便迅速。
在本设计中采用Altera公司的EDA软件工具Quartus II,并以Cyclone II 系列的FPGA的器件作为主实现硬件平台的设计。
一、FPGA的原理FPGA 是Filed Progranmmable Gate Array的缩写,即现场可编程逻辑阵列。
FPGA是在CPLD的基础上发展起来的新型高性能可编程逻辑器件它一般采用SRAM工艺,也有一些专用器件采用Flash工艺或反熔丝(Anti_Fuse)工艺等。
FPGA的集成度很高,其器件密度从数万系统门到数千万系统门不等,可以完成极其复杂的时序与组合逻辑电路功能,适用于高速、高密度的高端数字逻辑电路设计领域。
FPGA的基本组成部分有可编程输入/输出单元,基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元、内嵌专用硬核等。
FPGA 的主要器件供应商有Xilinx、 Altera、 Lattice、 Actel和 Atmel 等。
二、 VGA转换接口的简单描述本设计另外自制VGA接口电路。
VGA时序控制模块是整个显示控制器的关键部分,最终的输出信号行、场同步信号必须严格按照VGA时序标准产生相应的脉冲信号。
对于普通的VGA显示器,其引出线的共含5个信号:G,R,B(三基色信号),HS(行同步信号),VS(场同步信号)。
在五个信号时序驱动时,VGA显示器要严格遵循“VGA工业标准”,即640Hz×480 Hz×60Hz模式。
下图(1)为VGA显示控制器控制CRT显示器VGA(Video Graphic Array)接口,即视频图形阵列,也叫做D-Sub接口,是15针的梯形插头,分3排,每排5个,传输模拟信号。
VGA图像显示控制器设计报告
摘要本实验报告为VGA显示控制器设计报告。
实验设计了基于VHDL描述的VGA显示控制器,通过FPGA控制CRT显示器显示色彩和图形。
完成的功能包括64种纯色的显示、横向和纵向彩色条幅的显示以及正方形色块的运动与控制。
实验的重心放在了显示控制器的系统层面的设计,采用了自顶向下的思路进行设计,系统的核心为有限状态机。
报告中给出了完整的设计思路和过程,并将系统分模块进行了详细的设计,给出了VHDL语言描述。
完成了状态机和核心模块以及系统整体的仿真验证。
最终下载到实验板上测试通过。
关键词:FPGA;VHDL;VGA显示控制;状态机第一部分任务要求 (1)1.1课题要求 (1)1.2设计目标 (1)第二部分系统设计 (2)2.1设计思路 (2)2.2系统结构设计 (4)2.2.1系统结构框图 (4)2.2.2系统逻辑功能划分 (4)2.2.3层次模块划分 (5)2.2.4模块设计图 (8)2.2.5控制器设计 (8)2.2.6状态机设计 (10)2.2.7基本模式设计 (10)2.2.8用户模式设计 (11)2.3系统硬件语言描述 (14)2.3.1VHDL描述思路 (14)2.3.2总体电路的描述 (14)2.3.3分块电路的描述 (18)2.4系统仿真验证 (31)第三部分结果与分析 (35)3.1实现功能说明 (35)3.2器件资源分析 (36)3.3VHDL设计优化 (38)3.4故障和问题分析 (40)第四部分总结与结论 (41)4.1实验结论 (41)4.2总结体会 (41)第五部分附录部分 (43)5.1元器件和仪表清单 (43)5.2VHDL源代码 (43)5.3电路图 (59)5.4参考文献 (67)第一部分任务要求1.1课题要求设计一个 VGA 图像显示控制器。
1.显示模式为 640×480×60Hz 模式;2.用拨码开关控制 R、G、B(每个2 位),使显示器可以显示64 种纯色;3.在显示器上显示横向彩条信号(至少 6 种颜色);4.在显示器上显示纵向彩条信号(至少 8 种颜色);5.在显示器上显示自行设定的图形、图像等。
基于FPGA的VGA显示控制毕业设计
引言基于FPGA的VGA显示控制设计1 引言1.1 选题背景CRT显示器作为一种通用型显示设备,如今已广泛应用于我们的工作和生活中。
与嵌入式系统中常用的显示器件相比,它具有显示面积大、色彩丰富、承载信息量大、接口简单等优点,如果将其应用到嵌入式系统中,可以显著提升产品的视觉效果。
如今随着液晶显示器的出现,越来越多的数字产品开始使用液晶作为显示终端。
但基于VGA标准的显示器仍是目前普及率最高的显示器[1]。
若驱动此类显示器,需要很高的扫面频率,以及极短的处理时间,正是由于这些特点,所以可以用FPGA来实现对VGA 显示器的驱动。
本次专业课程设计即选用FPGA来实现VGA图片的显示。
随着FPGA的不断发展及其价格的不断下降,FPGA的可编程逻辑设计的应用优势逐渐显现出来。
现在,越来越多的嵌入式系统选择了基于FPGA的设计方案。
在基于FPGA的大规模嵌入式系统设计中,为实现VGA显示功能,既可以使用专用的VGA接口芯片如SPX7111A等,也可以设计和使用基于FPGA的VGA接口软核。
虽然使用VGA 专用芯片具有更稳定的VGA时序和更多的显示模式可供选择等优点,但设计和使用VGA接口软核更具有以下优势:(1)使用芯片更少,节省板上资源,减小布线难度;(2)当进行高速数据传输时,具有更小的高频噪声干扰;(3)FPGA(现场可编程门阵列)设计VGA接口可以将要显示的数据直接送到显示器,节省了计算机的处理过程,加快了数据的处理速度,节约了硬件成本。
1.2 目的和意义显示绘图阵列(video graphic array,VGA)接口,他作为一种标准的显示接口得到了广泛的应用。
VGA接口大多应用在显示器与显卡之间;同时还可以用用在擦二色等离子电视输入图像的模数转换上;VGA接口同样也是LCD液晶显示设备的标准接口[2]。
可编程逻辑器件随着微电子制造工艺的发展取得了长足的进步[3]。
早期的器件只能存储少量的数据,完成简单的逻辑功能;发展到现在,可以完成复杂的逻辑功能,速度更块,规模更大,功耗更低。
VGA图象显示控制器设计[1]
目录一、设计任务----------------------------------------------------------------------- 3二、方案论证----------------------------------------------------------------------- 3三、系统设计详述----------------------------------------------------------------- 43.1 VGA显示其原理-----------------------------------------------------------------------43.2 VGA图象显示控制器的设计原理 ------------------------------------------------43.3 VHDL源程序 ---------------------------------------------------------------------------43.4 VHDL程序在QuartusⅡ编译结果-----------------------------------------------73.5 QuartusⅡ时序仿真波形-------------------------------------------------------------8四、配置到EDA6000实验箱--------------------------------------------------- 8五、系统设计工作进程 ---------------------------------------------------------10六、收获和体会------------------------------------------------------------------- 11七、参考文献---------------------------------------------------------------------- 11一、设计任务1.1课程设计目的通过《EDA与数字系统设计》课程设计,使学生能够掌握FPGA应用系统的开发过程。
VGA图像显示控制器设计
程序设计与硬件实验
KX
康芯科技
RTL电路图
VGA口与FPGA的I/O接口
模式5的电路结构
KX
康芯科技
接时钟clock0
引脚锁定
KX
接时钟clock0
康芯科技
文件下载
注意此示例存放的路径
康芯科技 FOR GW48-PK3 KX
模式5的电路结构
VGA口与FPGA的I/O接口
工作时钟,CLK接clock9,选择12MHz
键1控制VGA显示模式,选择PIO0 pin1
FOR GW48-PK3 引脚锁定
KX
康芯科技
选择模式5,键1控制VGA显示模式,选择PIO0 pin1
工作时钟,CLK接clock9,选择12MHz
文件下载
用此纽选择编程下载 接口ByteblasterMV 注意此示例存放的路径
模式5的电路结构
KX
选择模式5
康芯技
时钟clock0选择50MHz
插上VGA显示器插头
其余设置默认!
KX
康芯科技
VGA显示使能,向上插使能, 向下插禁止,如果显示不正常, 来回插此短路帽即可
VGA图象显示
KX
康芯科技
VGA 图像显示控制器设计报告
O~P:场消隐期即同步,相当于还原扫描坐标吧
P~Q:场消隐后肩相当于准备开始扫描吧
Q~R:场显示期扫描中,数据有效区域
R~S:场消隐前肩完成扫描,相当于准备同步
以下是行扫描,场扫描HS,VS时序图:
图6
如上图所示:VGA一直在扫描,每一场的扫描包括了若干行扫描,反复循环。且在640*480@60Hz的标准下,每秒需要输出25.175M像素,即每秒输出31469行,也即每秒输出60张图。其工业标准如下图所示:
图7
此部分代码见附录。原理图如下:
图8
3、
数据存储模块调用LPM_ROM模块。具体设置如下:
图9
该模块用于存储图像数据。数据位宽为3,地址线为32768.
原理图如下:
图10
存储与该ROM的图像数据原为BMP格式,为使其可以存储于ROM内部,需要使用软件将信息转换。本实验使用3位数据存储颜色信息,因此仅能显示8中颜色,具体对应关系如下表所示:
显示带宽:带宽指的显示器可以处理的频率范围。如果是60Hz刷新频率的VGA,其带宽达640x480x60=18.4MHz,70Hz的刷新频率1024x768分辨率的SVGA,其带宽达1024x768x70=55.1MHz。
时钟频率:以640x480@59.94Hz(60Hz)为例,每场对应525个行周期(525=10+2+480+33),其中480为显示行。每场有场同步信号,该脉冲宽度为2个行周期的负脉冲,每显示行包括800点时钟,其中640点为有效显示区,每一行有一个行同步信号,该脉冲宽度为96个点时钟。由此可知:行频为525*59.94=31469Hz,需要点时钟频率:525*800*59.94约25MHz
VGA图像显示实例课程设计
VGA图像显示实例课程设计一、课程目标知识目标:1. 学生能够理解VGA图像显示的基本原理,掌握VGA接口的标准及其信号传输方式。
2. 学生能够解释VGA图像显示过程中的关键参数,如分辨率、刷新率等,并了解它们之间的关系。
3. 学生能够掌握VGA图像显示相关的硬件和软件设计方法,并能够运用所学知识分析实际案例。
技能目标:1. 学生能够运用所学知识,设计简单的VGA图像显示电路,并实现基本的图像显示功能。
2. 学生能够通过编程或使用相关软件工具,实现对VGA图像显示的调试和优化。
3. 学生能够运用团队协作和沟通技巧,共同完成VGA图像显示实例的设计和展示。
情感态度价值观目标:1. 培养学生对电子技术及图像显示领域的兴趣,激发他们的学习热情和探究精神。
2. 培养学生严谨、细致的学习态度,提高他们面对实际问题的分析和解决能力。
3. 培养学生的团队协作精神,使他们懂得尊重他人、分享成果,形成积极向上的人际关系。
本课程针对高年级学生,结合学科特点,注重理论与实践相结合,以提高学生的实际操作能力和创新能力。
通过本课程的学习,使学生能够将所学知识应用于实际案例,培养他们在电子技术领域的专业素养。
同时,关注学生的个体差异,鼓励他们积极参与,充分展示自己的特长。
在教学过程中,注重启发式教学,引导学生主动探究,提高他们的学习效果。
二、教学内容1. VGA图像显示原理:介绍VGA接口标准,包括信号传输方式、同步时序等,解析分辨率、刷新率等关键参数。
相关教材章节:第三章“图像显示原理”2. VGA图像显示硬件设计:讲解VGA图像显示相关的硬件组件,如VGA控制器、数模转换器等,分析硬件电路设计方法。
相关教材章节:第四章“显示硬件设计”3. VGA图像显示软件设计:介绍VGA图像显示的编程方法,包括驱动程序编写、图像处理等,以及调试和优化技巧。
相关教材章节:第五章“显示软件设计”4. 实例分析:分析一个具体的VGA图像显示实例,使学生了解实际应用中的设计方法和步骤。
基于FPGA的简单VGA显示控制器设计开题报告
开题报告的内容图2 VGA行扫描、场扫描时序图2.2.2彩条图形生成模块作为测试模式,该模式可以测试所连接的显示器以及整个VGA显示系统是否正常工作。
在彩条生成模块中产生横彩条和竖彩条,横彩条通过场计数器vcnt计数进行控制,以显示相应的颜色。
设计产生16个彩条,将480行的像素16等份,用vcnt来控制计数区域,不同的区域赋予不同的颜色值。
竖彩条的显示原理与横彩条相似,只是使用行计数器hcnt 来进行计数,把每行的640个像素16等份。
2.2.3显示模块显示模块是整个显示控制器的重要组成部分,各个模块的输出数据都要经过模块处理后送到显示器。
显示模块在VGA显示控制器中起至关重要的作用。
显示模块的输出信号通过D/A转换器的转换连到VGA接口,它是控制器和显示器进行通信的桥梁。
该模块以可以VHDL语言实现。
2.2.4存储模块当VGA显示器要显示一帧图像时,就会需要比较大的数据量,FPGA芯片内置的ROM 存储器难以满足这么大的数据存储要求,必须把图像数据放入外部的存储器中。
FPGA的外部存储器可以有多种选择,如ROM、EEPROM、SDRAM等。
采用何种存储器将最终决定读取控制器的数据读取方式。
如ROM可以用直接产生地址信号的方式对芯片进行访问,而SDRAM常常利用DMA控制方式配合CPU进行读写操作。
本设计采用型号为28C040的4M EEPROM外部存储器,可以在单片内存储整个屏幕的图像。
2.3设计中的难点和关键问题VGA时序控制器的实现是这个设计的难点。
由于VGA接口没有数据使能信号,其显示是要通过行扫描和场扫描的方式实现对显示器进行扫描控制。
所以,VGA的同步信号对时序的要求十分严格,如果同步信号没有同步好,会造成显示数据的丢失,甚至造成显示混乱。
因此,必须由专门的VGA控制器来显示严格的VGA时序的驱动。
这也是设计的关键所在。
LCD-VGA 嵌入式微型显示控制系统软件设计说明书
编号:版本:LCD-VGA微型显示驱动电路软件详细设计说明书编写: 2015年05月18日校对: 2015年06月12日审核: 2015年06月15日批准: 2015年06月20日目录一、项目背景 (3)二、软件功能介绍 (3)三、软件特性介绍 (3)四、软件的运行环境介绍 (3)五、系统的物理结构 (4)六、系统总结构 (4)七、系统各个模块介绍 (6)七、算法设计 (10)八、接口设计 ........................... 错误!未定义书签。
九、需求规定 (11)十、测试计划 (11)一、项目背景随着便携式多媒体终端需求量迅速增加,在视频解码等方面对芯片低功耗的要求也越来越高。
因此,只有将模拟视频信号转换成为符合ITU-R BT.656标准的数字信号,才可方便地利用FPGA或者DSP甚至PC机来进行信号处理。
本模块就是利用TI公司的超低功耗视频解码芯片TVP5150对视频信号A/D解码,由单片机通过I2C总线控制,实现驱动VGA级别(640X480)的微型显示模组,并预留地址数据等接口,作为模块验证以及后续数字信号处理之用。
二、软件功能介绍本系统主要由视频转换模块TVP5150、按键模块、8051内核单片机和液晶图形缩放引擎(A912)组成,系统框图6.1所示。
STC单片机通过I2C接口控制其余三部分模块的工作,视频解码IC把复合视频转换成标准8位的ITU-RBI.656格式的数字信号传输到A912,A912通过解码矩阵电路把解调后的信号转换成三基色RGB信号,最后通过增益/偏移控制、伽马校正、抖动处理和图形缩放变RGB信号输出到液晶屏.三、软件特性介绍以STC单片机 MCU为控制中心,以视频转换芯片TVP5150为硬件核心。
电路将模拟视频信号编码为ITU-R BT.656类型的数据流。
单片机管理整个工作流程,缩放引擎芯片进行图像处理,把数据流转换为RGB信号,最终在液晶屏上获得显示图像。
lcd-vga嵌入式微型显示控制系统软件设计说明书
编号:版本:LCD-VGA微型显示驱动电路软件详细设计说明书编写:2015年05月18日校对: 2015年06月12日审核: 2015年06月15日批准: 2015年06月20日目录一、项目背景 ........................ 错误!未定义书签。
二、软件功能介绍...................... 错误!未定义书签。
三、软件特性介绍...................... 错误!未定义书签。
四、软件的运行环境介绍................ 错误!未定义书签。
五、系统的物理结构.................... 错误!未定义书签。
六、系统总结构........................ 错误!未定义书签。
七、系统各个模块介绍.................. 错误!未定义书签。
七、算法设计.......................... 错误!未定义书签。
八、接口设计.......................... 错误!未定义书签。
九、需求规定.......................... 错误!未定义书签。
十、测试计划.......................... 错误!未定义书签。
一、项目背景随着便携式多媒体终端需求量迅速增加,在视频解码等方面对芯片低功耗的要求也越来越高。
因此,只有将模拟视频信号转换成为符合ITU-R 标准的数字信号,才可方便地利用FPGA或者DSP甚至PC机来进行信号处理。
本模块就是利用TI公司的超低功耗视频解码芯片TVP5150对视频信号A/D解码,由单片机通过I2C总线控制,实现驱动VGA级别(640X480)的微型显示模组,并预留地址数据等接口,作为模块验证以及后续数字信号处理之用。
二、软件功能介绍本系统主要由视频转换模块TVP5150、按键模块、8051内核单片机和液晶图形缩放引擎(A912)组成,系统框图所示。
本科毕业论文___基于fpga的vga显示控制器设计[管理资料]
基于FPFA的VGA显示控制器设计摘要目前,数码产品逐渐进入了人们生活的每一个领域,而此类产品大多都带有显示屏,可见对显示屏的控制电路进行研究具有很大的市场需求。
VGA作为一种标准的显示接口得到了广泛的应用,同时基于VGA技术的显示控制器也拥有广泛的使用领域。
本文所设计的VGA控制器就是通过利用超大规模可编程逻辑器件FPGA和Altera公司开发的EDA设计软件Quartus II ,并采用自顶向下的VHDL设计方法,将该控制器分成用VHDL语言进行描述的五个子模块来实现的。
在Quartus II ,完成了对该控制器的设计输入、综合、仿真和下载。
在实验开发板上,也进行了硬件调试。
调试结果表明,设计的VGA控制器能够正确地输出RGB颜色信号和时序控制信号,可以显示符合VGA工业标准的测试彩条信号,内置ROM信息,实时RAM信息,还能够分屏显示三路RGB图像信息,并在这几种模式之间灵活切换和通断。
它工作稳定,达到了设计要求。
本设计利用了不同于以往传统的电子设计方法,具有修改灵活,高移植性,维护简单,可靠性好等优点。
大大地缩短了设计周期,降低了生产成本。
关键词现场可编程门阵列;硬件描述语言;视频图像阵列The VGA Display Controller Design Based on FPFAAbstractThe currently, the digital products which most of them have a display screen entered in every area of people's lives gradually, so we can see the great market demand of the study on the control circuit .As a standard display interface has been widely used, the VGA display controller based on this VGA norm also has a broad field of use at the same time.In this paper, designed through the use of ultra-large-scale programmable logic device-FPGA and Altera developed EDA design software Quartus II of version , and the VHDL top-down design methodology,the VGA controller was divided into five sub-modules which described with VHDL language. It has finished the design of the controller input, integrated, simulation, and download on Quartus II software platform,and it has carried out hardware debugging on the experimental development board. The results from debugging showed that the design of the VGA controller can output the color signals of RGB and the control signals correctly. It can show the images that compliance with industry standard of VGA ,such as the color bar test signal, a built-ROM image, and a real-time RAM image, but also to show the three-way RGB image information on one screen separately,and it can make a choice between these types of models and control the output connect or work Stable and achieve the design requirements.With the advantage of easily change, high portability, easy maintenance, good reliability,this design is different from the traditional method of electronic greatly short the design cycle and reduce the production costs.Keywords FPGA;VHDL;VGA目录摘要 (I)Abstract (II)第1章绪论 (5)课题背景 (5)国内外文献综述 (5)显示技术的发展史 (5)各种显示器的显示原理 (6)显示标准的发展 (8)VGA概述及其接口 (9)论文研究内容 (10)第2章VGA显示控制的相关理论 (12)VGA显示控制 (12)VGA显示控制方式 (12)颜色模型 (14)分屏显示技术 (15)矩阵切换技术 (16)实现工具简介 (16)基于VHDL的自顶向下设计方法 (16)QuartusII软件简介 (18)VHDL语言简介 (19)FPGA简介 (20)本章小结 (22)第3章VGA显示控制器的VHDL设计 (23)显示控制器的整体设计 (23)系统整体设计思想 (23)系统的功能模块划分 (23)各个模块的分别实现 (23)系统的整体硬件设计 (24)系统各个功能模块的设计 (25)PLL锁相环设计 (25)彩条信号发生器设计 (27)ROM信息读取显示控制器设计 (30)实时RAM信息读取显示控制器设计 (32)多路RGB图像信号分屏显示控制器设计 (34)4路VGA图像信号矩阵切换控制器设计 (36)本章小结 (39)第4章基于FPGA的VGA显示控制器实现 (40)顶层原理图设计输入 (40)编译综合 (41)整体仿真 (42)引脚锁定和下载 (42)调试结果 (43)本章小结 (46)结论 (47)致谢 (48)参考文献 (49)附录A (50)附录B (54)附录C (57)第1章绪论1.1课题背景研究表明人的各种感觉器官从外界获得的信息中视觉占60%,听觉占20%,触觉占15%,味觉占3%,嗅觉占2%,近2/3的信息是通过眼睛获得的,从而图像显示成为传递信息最重要的方式,由此也就促进人们对显示以及控制技术的研究开发。
基于vga显示的逻辑分析仪(显示控制部分)_毕设论文
JIU JIANG UNIVERSITY数字逻辑系统课程设计题目基于VGA显示的逻辑分析仪(显示控制部分)英文题目 The Logic Analyzer Based onVGA Display(Display Control)九江学院学士学位论文摘要逻辑分析仪是一种有效的、最具代表性的数字逻辑分析仪器,目前得到了广泛的应用,但其昂贵的价格和复杂的操作方式,限制了其在小型实验室或教育机构的使用。
基于台式一体机的设计思想,本文提出了一种采用廉价FPGA实现数字逻辑信号采集,处理,由通用VGA显示器显示数字信号的逻辑分析仪。
VGA显示输出具有兼容性强,显示内容丰富,不需要依靠计算机的优势。
基于一体机的思路,系统硬件分为五大部分,分别为信号输入单元、FPGA核心处理单元、输入控制单元和状态显示单元及VGA接口单元。
分别实现信号的输入整形、采集处理、工作状态显示和显示数据DA转换。
本文采用电阻分压的方式进行色彩信号的DA 转换,将二进制RGB数据帧,转换为对应的模拟电压信号。
最终实现多路逻辑信号的采集、处理,最终将波形数据显示于VGA 显示器上。
逻辑处理部分,采用EDA自上而下的设计思想,首先,按功能划分模块,分为采样触发控制模块、采样频率控制模块、双口RAM 存储模块、波形显示控制模块、VGA 显示驱动模块、键盘显示控制模块六个模块;然后,用VHDL语言设计对应的模块,本文详细分析了VGA逐行扫描的工作原理,并最终实现数据的显示;最后,在系统级的层次,将各个模块有机结合在一起,形成了一个具有十路输入,六种触发方式,采样频率可调,使用通用VGA显示器显示的逻辑分析仪。
本设计利用FPGA芯片和EDA设计方法,实现了廉价实用的逻辑分析仪,既能够大大降低成本,又可以满足生产实践中不断变化的需要。
关键词: VGA;逻辑分析仪;EDA;FPGA- I -基于VGA显示的逻辑分析仪Display Based on the Logic Analyzer DesignAbstractLogic analyzer is an effective, most representative of digital logic devices have been widely used at present, but its high price and complex mode of operation, limited in a small laboratory or educational institution use.Desktop machine based on one design, the paper proposes a low-cost FPGA using digital logic signal acquisition, processing, generic VGA display by the digital signal of the logic analyzer. VGA display output with the compatibility, display rich content and do not need to rely on the computer's advantage.Thinking on one machine, system hardware is divided into five parts, namely, the signal input unit, FPGA core processing unit, input control unit and the status display unit and the VGA interface unit. Respectively, and the signal is input shaping, acquisition and processing, work status, and display data DA conversion. This resistor divider with the way color signals DA conversion, the binary RGB data frame, converted to corresponding analog voltage signal. Ultimately multiple logic signal acquisition, processing, waveform data will eventually be shown on the VGA monitor.Logic processing, we adopt the EDA design from top to bottom, first, by function, module, trigger control module is divided into sampling, the sampling frequency control module, dual-port RAM memory modules, waveform display control module, VGA display driver module, keyboard Display control module 6 module; Then, the corresponding VHDL language design module, the paper analyzes the working principle of progressive scan VGA and, ultimately, the display of data; Finally, the level at the system level, the combination of the various modules in together to form a 10-input, six trigger, the sampling frequency is adjustable using the Universal VGA display logic analyzer.This design using FPGA chip and EDA design, cheap and practical realization of the logic analyzer, not only can greatly reduce the cost and production practices to meet changing needs.Key Words: VGA; Logic Analyzer; EDA; FPGA- II -九江学院学士学位论文目录摘要 (I)Abstract (II)引言 (1)1 绪论 (2)1.1 VGA的背景 (2)1.2 VGA的发展与应用 (3)1.3 基于VGA显示的逻辑分析仪的优势 (3)1.4 论文的结构 (4)2 基于VGA显示的逻辑分析仪的设计 (5)2.1 总体方案的设计 (5)2.2 硬件设计的方案 (5)2.3 软件设计的方案 (6)2.4 采用的主要技术 (7)2.4.1 FPGA (7)2.4.2 VHDL语言 (8)2.4.3 Quartus II 7.0开发平台 (9)3 系统硬件电路设计 (10)3.1 FPGA最小系统 (10)3.1.1 配置电路 (10)3.1.2 时钟及复位电路 (11)3.1.3 系统电源 (12)3.2 VGA接口电路 (13)3.2.1 VGA接口概述 (13)3.2.2 VGA接口电路设计 (14)3.3 其他外围电路 (15)3.3.1输入控制电路 (15)3.3.2 状态显示电路 (16)4 系统软件设计 (17)4.1 双口RAM存储模块 (17)4.2 VGA驱动模块 (18)4.2.1 VGA时序 (19)1基于VGA显示的逻辑分析仪4.2.2 VGA逐行扫描的工作原理 (19)4.3 波形显示控制模块 (21)4.3.1 波形数据存储格式 (21)4.3.2 波形图像显示 (21)4.4 键盘控制显示模块 (23)4.5 其他模块 (23)5功能调试与测试 (24)5.1 按键输入与显示 (24)5.2 系统测试 (25)6 总结及展望 (26)参考文献 (27)致谢 (28)2九江学院学士学位论文引言20 世纪70 年代初研制出了微处理器,出现4位和8 位总线,传统示波器的双通道输入无法满足8bit的观察。
VGA控制器设计实现显示器屏幕保护模块
深圳大学实验报告课程名称:数字系统现场集成技术实验项目名称:VGA控制器设计实现显示器屏幕保护模块学院:信息工程学院专业:集成电路设计与集成系统指导教师:报告人:学号:班级:实验时间:实验报告提交时间:教务部制四、VGA简介VGA彩色显示器,彩色是由R、G逐行扫描方式实现图像显示,由VGA直同步信号(VS)控制阴极射线枪产生的电子束,打在涂有荧光粉的荧光屏上,产生R、G、B三基色,合成一个彩色像素。
扫描从屏幕的左上方开始,由左至右,由上到下,逐行进行扫描,每扫完一行,电子束回到屏幕下一行的起始位置,在回扫期间,CRT对电子束进行消隐,每行结束是用行同步信号图1 VGA接口信号基本时序图图2 FPGA板上的VGA接口图3 VGA(640*480@60Hz)时序图VGA显示的设计模块为:五、代码顶层模块包括4个模块,分别为分频div_clk、按键扫描keyscan、时序产生模块video_signal_gen、算法显示模块disp_alg,其中分频模块有4分别输出25MHz、10kHz、50Hz、3s的时钟,25MHz是给video_signal_gen 模块产生行时序和场时序的,10kHz是按键扫描的,50Hz是计算小方块的移.key3(key3),.video_x(vga_x),.video_y(vga_y),.video_rgb(vga_rgb));////////////////////////////////////////////////////// ///////////////////////////////endmoduleRTL图:图5.1.1 顶层模块RTL图1图5.1.2 顶层模块RTL图2-----------------------------------------------------------------------------2、div_clk模块代码library IEEE;use IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_arith.all;USE ieee.std_logic_unsigned.all;-- Uncomment the following library declaration if using-- arithmetic functions with Signed or Unsigned values--use IEEE.NUMERIC_STD.ALL;-- Uncomment the following library declaration if instantiatingassign video_g = video_de ? video_rgb[4:2] : 3'b000; assign video_b = video_de ? video_rgb[1:0] : 2'b00;endmoduleRTL图:图5.4.1 video_signal_gen测试代码为module vga256_tb;// Inputsreg clock;reg rst_p;//rst_n = 1;#1 rst_p = 1;#1 rst_p = 0;// Wait 100 ns for global reset to finish#100;// Add stimulus hereendalways #5 clock = ~ clock;endmodule测试结果:图5.4.2 测试结果1图5.4.3 测试结果2图5.5.1 disp_alg RTL图六、报告资源报告:综合后的资源报告:映射后的资源报告:布局布线后的资源报告:映射的时序报告:布局布线后的时序报告:七、实验实物结果图7.1 竖条纹显示图7.2 横条纹显示图7.3 大小可变小方块(小)显示移动及背景可变图7.4 大小可变小方块(大)显示移动及背景可变[文档可能无法思考全面,请浏览后下载,另外祝您生活愉快,工作顺利,万事如意!]41/ 41。
VGA显示控制器设计..
学院EDA技术课程设计题目VGA显示控制器设计系(部) 信息工程系班级姓名学号指导教师2013 年7 月8 日至7 月12 日共 1 周课程设计成绩评定表1 引言如今人们的生活水平和质量不断提高,对生活设备的智能化程度的要求越来越高。
现代电子产品在性能提高、复杂度增大的同时,价格却一直呈下降趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要因素是生产制造技术和电子设计技术的发展。
前者以微细加工技术为代表,目前已进展到深亚微米阶段,可以在几平方厘米的芯片上集成数千万个晶体管。
后者的核心就是EDA技术,EDA是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作:IC设计、电子电路设计、PCB设计。
没有EDA技术的支持,想要完成上述超大规模集成电路的设计制造是不可想象的,反过来,生产制造技术的不断进步又必将对EDA技术提出新的要求。
随着电子技术的发展和人们对电子设计开发的难度及周期要求,EDA技术必将广发应用于电子设计的各个领域,因此本设计也采用了EDA的设计方法,其设计的优越性明显高于传统的设计方法。
为了实现VGA彩色显示的原理,我们从本质上去认识了生成图像的原理,从而制造更多更复杂的元器件做铺垫,本设计立足系统可靠性及稳定性等高技术要求,采用FPGA芯片实现VGA显示屏控制电路,通过对接口的认识,我们知道了怎么去设置接口成像参数。
其电路设计比较简单,外围电路少,易于控制和检查,较传统的分离元件实现方式有着明显的优势,尤其是其设计电路实现周期,其抗干扰及调试过程都很简单。
2 应用软件介绍2.1Quartus II软件介绍Quartus II是Altera公司推出的一种针对其公司生产的CPLD/FPGA系列器件的综合性开发软件,是一个集成了编译、布局布线和仿真工具在内的完全集成化的可编程逻辑设计环境,是最先进的EDA工具软件。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
目录摘要 (1)Abstract (2)前言 (3)第1章绪论 (4)第1.1节应用背景 (4)第1.2节设计思路 (4)第1.3节设计工作 (5)第2章硬件电路设计 (6)第2.1节系统总体结构框架 (6)第2.2节主控制器硬件模块 (7)第2.3节电源模块 (10)第2.4节D/A转换电路模块 (10)第2.5节VGA显示模块 (14)第2.6节本章小结 (16)第3章软件设计 (17)第3.1节Quartus II及VHDL语言介绍 (17)第3.2节程序设计 (18)第3.3节本章小结 (22)第4章软件调试 (23)第4.1节编译仿真 (23)第4.2节配置加载 (23)第4.3节实验结果 (24)第5章结论与展望 (25)参考文献 (26)致谢 (27)附录 (28)摘要本文设计了一个基于VHDL的VGA显示控制模块,通过FPGA控制图像信号与时序信号,软件的开发环境是ALTERA公司的Quartus II 6.0。
设计运用VHDL语言编程,配置加载FPGA芯片,经FPGA处理,信号通过D/A转换器(电阻分压与ADV7123视频D/A转换两套方案),由VGA接口输出。
设计的主控制器采用ALTERA公司的ACEX EP1K30TC144-3芯片,通过GW48系列SOPC/EDA实验箱调试,完成整个系统实验。
关键词:FPGA;VHDL;VGAAbstractThis paper describes the design of VGA display and control module which based on VHDL. Image signal and schedule signal were controlled by FPGA. Software development environment is Altera's Quartus II 6.0. This design using VHDL language programming, loading FPGA configuration, the FPGA, signal through D/A Converter (resistance pressure and ADV7123 video DAC two options), then output from the VGA interface. ACEX EP1K30TC144-3 chip of ALTERA Company has been adapted in the design of the main controller. Debugging program through GW48 Series SOPC/EDA Experiment Box, the whole system will be completed experiment..Keywords:FPGA;VHDL;VGA前言随着大规模集成电路技术的发展及电子产品市场运作节奏的进一步加快,现代电子设计技术已迈入一个全新的阶段。
电子器件及其技术的发展将更多地趋向于支持EDA (Electronic Design Automation),借助VHDL语言,硬件设计与软件设计技术得到了有机的融合。
可编程逻辑器件FPGA(Field Programmable Gate Array)和CPLD(Complex Programmable Logic Device)由于具有开发简单、静态可重复编程和动态在系统编程的特点,已经成为当今应用最广泛的两类可编程专用集成电路(ASIC)。
随着电子技术的迅猛发展,速度更快、集成度更高的FPGA不断出现,随着结构和工艺的改进,FPGA芯片上包含的资源越来越丰富,可实现的功能越来越强,这使得FPGA在电子电路设计中扮演的角色越来越重要。
本文描述了基于VHDL的VGA显示控制模块的设计,具体内容如下:1.第一章绪论介绍了FPGA的特点及设计所做的工作。
2.第二章介绍了硬件电路的设计,包含4个功能模块。
3.第三章讲解了软件的设计,简单介绍了Quartus II及VHDL语言,着重讲解了程序设计方案。
4.第四章介绍了软件调试的方法。
5.第五章是本次设计的结论与展望。
由于时间仓促,加之水平有限,文中的缺点和不足之处在所难免,敬请批评指正。
第1章绪论第1.1节应用背景图像处理是信号与信息处理学科的一个重要组成部分,也是诸多计算机领域中最为活跃的领域之一。
随着计算机、集成电路等技术的飞速发展,数字系统的设计正朝着速度快、容量大、体积小、重量轻的方向发展。
推动该潮流迅猛发展的引擎就是日趋进步和完善的ASIC设计技术。
ASIC具有高集成度、高性能、高可靠性、高保密性及成本低、开发工具先进等优点,基于它们的优点,可编程ASIC,特别是高密度可编程ASIC近年来发展迅速,已经在国内外计算机硬件、工业控制、智能仪表、数字电路系统、航空航天设备等领域得到了广泛应用。
大规模可编程逻辑器件CPLD是将多个可编程阵列逻辑器件集成到一个芯片,具有类似PAL的结构;FPGA具有类似门阵列的结构,这两类器件都具有用户可编程特性。
CPLD和FPGA在图像领域有着广泛的应用,他们的功能有:形成图像处理器的外围接口与控制,形成图像的通道,完成数字图像的编码,产生专用的图形等。
第1.2节设计思路在许多图像处理系统中,需要将经过处理的图像显示出来,如果采用传统的办法将图像数据传回电脑并通过显示器显示出来,那么在传输的过程中就需要嵌入式系统的CPU 不断的对所传输的图像数据信号进行控制,这样就造成了CPU资源的浪费,同样系统还需要依赖电脑,降低了系统的灵活性。
如果采用FPGA对显示器的VGA接口进行设计,数据流只需要在整个系统的内部流动,而不需要依靠计算机,实现了系统的最小化,大大减少了电路板的尺寸,增强了系统的可靠性和设计的灵活性。
VGA是计算机显示器常见的显示标准,VGA接口是LCD液晶显示器、CRT显示器的标准接口,通过VGA控制LCD、CRT可以使整个系统变得小巧和便携,使得应用的范围大大扩展。
在这次的设计实验中,正是需要把预设的图像实时地直接地显示出来,以验证设计的正确性,从而满足各种不同应用设计的需要。
第1.3节设计工作本设计主要采用软硬件结合的方式,实现将预置的图像或动画在VGA(640*480)显示器上正确显示的功能。
主要工作有:1.软件设计,在Quartus Ⅱ环境下,运用VHDL硬件描述语言编程,包括初始化模块设计,控制器模块设计,VGA时序模块设计。
2.程序设计完成后,进行测试,所有的硬件测试先在GW48系列SOPC/EDA实验箱上完成,使其能够满足设计要求。
3.在实验箱调试正确,满足要求后,进行拓展的硬件设计,包括:系统的整体结构设计,电路原理图设计和PCB电路板设计三大部分。
第2章硬件电路设计第2.1节系统总体结构框架一、系统的硬件电路在GW48系列SOPC/EDA实验箱上完成,实现FPGA对VGA显示器的控制,实现8位色输出。
结构示意图如下:图2.1 实验箱实现的硬件结构示意图实验箱的内部结构是相当复杂的,本系统中用到的是:一块FPGA核心板,板上有ACEX EP1K30TC144-3芯片及其外围电路;D/A转换电路;VGA接口电路。
通过计算机编程,将图像数据与时序信号一同下载进入FPGA,经过FPGA的处理,再通过D/A 转换电路,完成数字信号向模拟信号的转换,最后由VGA接口输出,在CRT显示器上显示图像。
二、在实验箱上完成设计后,我又设计制作了电路板加以拓展,以代替实验箱。
专用电路板设计的目的是丰富图像显示的色彩,增强图像的还原能力,使系统的功能更加强大。
系统由FPGA主控制器,电源模块,D/A转换模块(分两套方案),显示接口模块组成。
其结构示意图如下:图2.2 拓展电路硬件结构示意图输入部分:(1)由计算机进行软件编程,设计专门的图像数据,将其与时序信号一同下载送入FPGA。
(2)在第一种方案实现的情况下,我们还构想了另一种方案,就是将图像数据事先用烧写器存入EEPROM,当系统工作时,根据时序将存储器中的数据送入FPGA,这种方法可以使图像信息更加丰富。
这个方案还处于构思阶段,还没有实施,有待今后进一步拓展。
输出部分:在FPGA里将通过软件的方法构造的行、场同步信号和点时钟信号送到地址发生器中,产生所需要的控制帧存储器的地址信号,同时把采集处理后的数字图像信息输入到实验箱上的D/A转换电路,经数模转换后从VGA接口输出。
以下两套拓展方案是分开工作的,通过连接到VGA接口的插针进行选择。
(1)方案一将4位图像数据信号送入电阻分压网络,它起到一个D/A转换的作用,最终将数字图像信号送入VGA接口。
(2)方案二以同样的方法将R、G、B三基色10位信号送入ADV7123,ADV7123把数字图像信号D/A转换后送入VGA接口。
第2.2节主控制器硬件模块一、ACEX1K系列芯片介绍图像处理系统往往需要庞大的处理器阵列、存储器模块和通讯网络,大规模集成电路正好可以解决这些问题。
因此,本设计选用ALTERA公司的ACEX1K系列芯片,此系列的产品不但具有高性能、高密度和非常大的灵活性,还具有改进的结构、先进的处理技术、现代化的开发工具以及多个宏功能模块可选用等优点。
ACEX1K是2000年推出的2.5V低价格SRAM工艺PLD(FPGA),其结构中带嵌入式存储块EAB,部分型号带PLL,且每个嵌入式存储块EAB的容量均为4KB。
ACEX1K器件的特点是将查找表LUT和EAB相结合,提供了效率高而又廉价的结构。
基于LUT的逻辑对数据路径管理、寄存器强度、数学计算或数字信号处理DSP的设计提供优化的性能和效率,而EAB可实现RAM、ROM、双口RAM或FIFO功能。
这使得ACEX1K适合于复杂逻辑及存储器功能,如数字信号处理、数据路径管理、数据变换和微处理器等各种高性能通信应用。
基于可重构CMOS SRAM单元,ACEX1K结构具有实现一般门阵列宏功能需要的所有特征,相应的多引脚提供与系统元器件的有效接口。
先进的处理功能和2.5V低电压要求,使得ACEX1K器件满足廉价、高容量的应用需要。
每个ACEXIK器件包含一个实现存储器及特殊逻辑功能的增强型嵌入式阵列和一个实现一般逻辑的逻辑阵列。
嵌入式阵列由一系列EAB组成,每个EAB提供4096位。
逻辑阵列由逻辑阵列块LAB组成,八个可编程逻辑块,如八位计数器、地址译码器或状态机,或跨LAB进行组合以建立更大的逻辑块。
每个LAB代表大约96个可用逻辑门。
图2.3 EP1K30TC144-3芯片本系统就是选用了ALTERA公司的ACEX1K系列的EP1K30TC144-3芯片,它具有144个引脚,其中102个I/O通信口。