概述 SOPC系统开发流程

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

引脚分配 编辑源文件 时序约束 编译并下载 编译 调试运行软件
生成FPGA配置文件
FPGA 配置文件
目标器件
软件 应用程序
SOPC系统开发ຫໍສະໝຸດ Baidu程
Nios II EDS或IDE (面向大中型应用系统)
系统头文件 .h
软件开发
添加C源文件
编辑C源文件 编译软件工程 调试/运行软件
软件应用程序
目标器件
系统硬件验证(仿真、时序约束)
下载,提交硬件系统
创建软件工程 底层硬件调试 软件开发阶段 应用层软件开发 编译、板级调试
SOPC项目开发基本步骤
3、 SOPC Builder
什么是SOPC?
SOPC Builder集成在Quartus II 软件中,是一个强大的 系统开发工具,可以帮助用户定义并产生一个完整的SOPC, 比传统的手动集成方式要方便得多。 用户可以使用SOPC Builder生成一个基于Nios II软核 处理器的系统,也可以生成基于其他处理器的系统,甚至是 不包含任何处理器的纯外设互联系统。 使用传统的设计方法,用户必须手动编写HDL代码用于 连接各个子系统。而使用SOPC Builder,只要操作图形界面 接口,就可以自动生成各个组件的互联逻辑。SOPC Builder 自动生成系统所有组件的HDL文件,而顶层HDL文件则例化好 了系统的所有组件。SOPC Builder既能够生成VHDL代码,也 能够生成Verilog代码。
SOPC Builder
系统架构
选择并添加组件 HDL 例化文件 .vhd or .v Quartus II FPGA设计 设计输入(HDL代码编写) 组件设置与连接 生成系统 系统描述文件 .ptf Altera Monitor Programmer (面向小型应用系统) 软件开发 添加汇编或C源文件
什么是SOPC?
2、 SOPC (System On a Programmable Chip)
SOPC称为可编程片上系统,它是基于FPGA器件的、 可重构的SOC。SOPC可以在一块FPGA器件上集成硬核或软 核CPU、DSP模块、锁相环(PLL)、存储器、各类I/O接 口、以及自定义逻辑,可以灵活高效地解决SOC方案,而 且也是SOC的前端设计。 SOPC设计周期短、成本低,一般只需要一台配有 SOPC开发软件的PC机和一台SOPC试验开发系统,就可以 进行SOPC的设计与开发。目前,SOPC技术已成为倍受众 多中小企业、研究所和大专院校青睐的设计技术。
什么是SOPC?
1、SOC(System On a Chip)
数字电路高度集成化是现代电子发展的大势所 趋,片上系统 SOC也就应运而生。它是指在单个芯 片上集成一个完整的系统,一般包括微处理器CPU、 数字信号处理器DSP、存储器(ROM、RAM、Flash 等)、总线和总线控制器、外围设备接口等,还可 以包括数模混合电路(放大器、比较器、A/D和D/A 转换器、锁相环等),甚至延拓到传感器、微机电 和微光电单元。SOC 是具备特定功能、应用于特定 产品的专用集成电路。
处理器选择 硬件实现功能 需求阶段 嵌入式IP核外设 自定义逻辑
软件实现功能
创建Quartus II工程 架构最小系统(处理器、RAM、ROM) SOPC架构 集成通用外设(调用现有IP核)
集成自定义逻辑外设(自行开发模块)
项目 硬件设计阶段 自定义逻辑开发、利用DSP Builder开发DSP模块 例化SOPC系统 集成系统 例化自定义逻辑、DSP模块
相关文档
最新文档