EDA复习资料-(1)
eda期末考试复习题
eda期末考试复习题EDA期末考试复习题一、选择题(每题2分,共20分)1. EDA(Electronic Design Automation)是指:A. 电子设计自动化B. 电子数据交换C. 电子文档自动化D. 电子设备自动化2. 在EDA工具中,用于设计和仿真数字逻辑电路的软件是:A. MATLABB. VHDLC. SPICED. AutoCAD3. 下列哪个不是硬件描述语言(HDL)?A. VerilogB. VHDLC. C++D. SystemVerilog4. FPGA(Field-Programmable Gate Array)是一种:A. 可编程逻辑器件B. 微处理器C. 存储器D. 网络设备5. 在VHDL中,以下哪个关键字用于定义实体?A. entityB. architectureC. processD. package6. 以下哪个是模拟电路设计中常用的EDA工具?A. Quartus IIB. ModelSimC. CadenceD. Xilinx ISE7. 以下哪个不是数字电路设计中的基本逻辑门?A. ANDB. ORC. NOTD. XOR8. 在VHDL中,以下哪个是并行赋值语句?A. ifB. caseC. loopD. when9. 在EDA设计流程中,仿真验证的目的是:A. 检查电路是否能正常工作B. 检查电路的物理尺寸C. 检查电路的功耗D. 检查电路的散热性能10. 以下哪个不是EDA设计流程中的步骤?A. 电路设计B. 仿真验证C. 版图设计D. 电路测试二、填空题(每空2分,共20分)11. 在VHDL中,用于定义端口的关键字是________。
12. FPGA的编程方式包括________和________。
13. 一个完整的EDA设计流程通常包括________、________、________、________和________。
14. 在数字电路设计中,________是一种用于描述电路行为的建模方式。
EDA复习资料
EDA复习基础知识要点1.EDA的概念EDA(电子设计自动化)是现代电子设计技术的核心。
EDA就是依靠功能强大的电子计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动的完成逻辑编译、化简、分割、综合优化仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC芯片中,实现既定的电子线路的功能。
2.EDA的发展阶段CAD是EDA技术发展的早期阶段,此阶段仅仅使用计算机进行辅助绘图工作。
CAE是在CAD的工具逐步完善的基础上发展起来的,它开始用计算机将许多单点工具集成在一起使用。
20世纪90年代电子技术的飞速发展促使现在的EDA技术的形成。
出现了EDA设计的概念,并发展至今天。
3.EDA设计流程①设计准备②设计输入③设计处理④设计校验⑤器件编程⑥器件验证4.设计输入的三种方式①原理图方式②文本输入方式③波形输入方式5.设计处理的步骤①设计编译和检查(信号线有无漏接,信号有无双重来源,关键词有无错误)②优化设计和综合③适配和分割④布局和布线⑤生成编程数据文件6.常用对应的后缀名①原理图文件.bdf②VHDL语言文件.vhd③Verilog HDL文件.v④仿真波形文件.vwf7.可编程逻辑器件的分类①按集成密度分类可编程逻辑器件从集成密度上可分为低密度可编程逻辑器件LDPLD和高密度可编程逻辑器件HDPLD两类。
LDPLD 通常是指早期发展起来的、集成密度小于1000门/片左右的PLD如ROM、PLA、PAL和GAL等。
HDPLD包括可擦除可编程逻辑器件EPLD(Erasable Programmable Logic Device)、复杂可编程逻辑器件CPLD(Complex PLD)和FPGA三种,其集成密度大于1000门/片。
如Altera公司的EPM9560,其密度为12000门/片,Lattice公司的pLSI/ispLSI3320为14000门/片等。
EDA技术复习资料(完全版)
EDA技术复习资料(完全版)EDA技术复习资料⼀、填空1、EDA设计流程包括设计准备、设计输⼊、设计处理、和器件编程序四个步骤。
2、EDA的设计验证包括功能仿真、时序仿真和器件测试三个过程。
3、EDA的设计输⼊主要包括⽂本输⼊⽅式、图形输⼊⽅式、和波形输⼊⽅式。
4、⽂本输⼊是指采⽤硬件描述语⾔进⾏电路设计的⽅式。
5、功能仿真实在设计输⼊完成以后,选择具体器件进⾏编译之前进⾏的逻辑功能验证,因此⼜称为前仿真。
6、时序仿真实在选择了具体器件并完成布局、布线之后进⾏的时序关系仿真,因此⼜被称为后仿真或延时仿真。
7、当前最流⾏的并成为IEEE标准的硬件描述语⾔包括VHDL、和VERILOG HDL。
8、EDA⼯具⼤致分为设计输⼊编辑器、仿真器、HDL综合器、适配器(或布局布线器)、以及下载器等五个模块。
9、IEEE于1987年将VHDL采纳为IEEE#1076标准。
10、⽤VHDL语⾔书写的源⽂件。
即是程序⼜是⽂档,即是⼯程技术⼈员之间交换信息的⽂件,⼜可作为合同签约者之间的⽂件。
11、⽤VHDL设计的电路,既可以被⾼层次的系统调⽤,成为系统的⼀部分,也可以作为⼀个电路的功能快独⽴存在和独⽴运⾏。
12、VDHL设计实体的基本结构由库、程序包、实体、结构体和配臵等部分构成。
13、实体和结构体是设计实体的基本组成部分,它们可以构成最基本的VHDL程序。
14、根据VHDL语法规则,在程序中使⽤的⽂字、数据对象、数据类型都需要预先定义。
15、VHDL的实体由实体声明部分和结构体组成。
16、VHDL的实体声明部分制订了设计单元的输⼊输出端⼝或引脚,它是设计实体对外的⼀个通信界⾯,是外界可以看到的部分。
17、VDHL的结构体⽤来描述设计实体的逻辑结构和逻辑功能,它由VHDL语句构成,是外界看不到的部分。
18、在VHDL的端⼝声明语句中,端⼝⽅向包括IN、OUT、INOUT和BUFFER。
19、VHDL的数据型⽂字包括整数⽂字、实数⽂字、以数制基数表⽰的⽂字和物理量⽂字。
EDA技术期末复习题
EDA技术期末复习题1.结构体中包含了四类功能描述语句:语句、______________语句、子程序调用语句和语句。
(P304)2. 下列关于程序包的用法正确的是: ________ (P319)A、一个程序包中只能包含常数说明,VHDL数据类型说明,元件定义和子程序这几种结构之一或他们中的几种B、程序包首可以独立定义和使用C、程序包结构中,必须同时含有程序包首和程序包体D、一个完整的程序包中,程序包首名和程序包体名可以不是同一个名字3. 参数传递说明语句以关键词引导一个类属参量表,通常在实体中的位置处于语句之前。
(P300-301)4. 下列逻辑操作符中哪个的优先级最高:_______。
A. ANDB. ORC. NOTD. XOR5. 下列关于操作符说法不正确的是:_______ (P333)A、关系操作符的操作对象可以是VHDL中的任何数据类型构成的操作数B、关系操作符的返回值是布尔类型数据C、MOD和REM的操作数数据类型只能是整数,运算操作结果也是整数。
D、SLL是左移位操作,右边跟进的位补零6. 下列说法正确的是: _______。
(P332)A、只有BIT型和整型数据可以参与加减运算。
B、操作符是有优先级别的,其中逻辑运算符的级别最低。
C、BIT 、BOOLEAN和STD_LOGIC可以进行逻辑运算D、a nand b nand c 这串运算可以不加括号7. 下列语句中,不属于并行语句的是:________A、进程语句B、CASE语句C、元件例化语句D、WHEN…ELSE…语句8. 下列语句中,不属于顺序语句的是:_______。
A. WHEN…ELSE…语句B. IF语句C. LOOP语句D. CASE语句9. 以下关于VHDL中常量的声明正确的是________A、Constant Width :Integer=8;B、Constant Width :Integer := 8;C、Variable Width :Integer = 8;D、Variable Width :Integer := 8;10. 下列哪个库需要在VHDL程序中明确打开并指定________A、STDB、IEEEC、WORKD、自定义库11. VHDL中最为常用的是库。
EDA复习要点
第1章 EDA技术概述1. EDA:EDA(Electronic Design Automation)电子设计自动化,EDA技术依赖于强大的计算机,在EDA工具软件平台上,对以HDL(Hardware Description Language--硬件描述语言)为系统逻辑描述手段完成的设计文件,自动完成逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试等项功能,直至实现既定性能的电子线路系统功能。
2. EDA的设计输入有:图形输入方式:原理图输入,状态图输入;HDL文本输入:VHDL,Verilog3. 常用缩写FPGA(Field Programable Gate Araay)CPLD(Complex Programmable Logic Device)ASIC(Application Specific Interated Circuit)SOC(System on a Chip)SOPC(System-on-a-Programmable-Chip)HDL(Hardware Description Language)IP(Intellectual Property)CAD(Computer Aided Design)CAM(Computer Aided Manufacturing)CAT(Computer Aided Test)CAE(Computer Aided Engineering)CAA(Computer Aided Analysis)4.综合(Synthesis):将用行为和功能层次表达的系统转换成低层次的便于具体实现的模块组合装配过程。
整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。
5.适配:适配器也称结构综合器,它的功能是将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC、Jam格式的文件。
EDA基础知识复习要点
EDA基础知识复习要点EDA(探索性数据分析)是指对数据集进行初步的探索,以了解数据的特征、相互关系和隐藏的模式。
它是数据分析的重要环节,可以帮助我们发现数据中的特殊特征、异常值和缺失值,为后续的建模和决策提供基础。
下面是EDA基础知识的复习要点。
1.数据集的基本情况-数据集的大小和维度:了解数据集包含的样本数量和特征数量。
-数据类型和缺失值:检查每个特征的数据类型并确定是否存在缺失值。
-数据的摘要统计信息:计算每个特征的基本统计指标,如均值、中位数、标准差等。
-数据可视化:使用直方图、箱线图、散点图等可视化工具来展示数据的分布和异常值。
2.数据的清洗和预处理-处理缺失值:根据缺失值的情况选择适当的方法填充或删除缺失值。
-处理重复值:检查是否存在重复的样本或特征,并根据需要删除或合并重复值。
-异常值处理:通过设定阈值或使用统计方法来检测和处理异常值。
-标准化和归一化:对于数据集中的数值型特征,可以进行标准化或归一化处理,使其具有相同的尺度。
3.特征工程-特征选择:根据特征的重要性和相关性选择最相关的特征,减少特征的维度。
-特征构建:使用原始特征衍生出新的特征,例如添加多项式特征、交互特征等。
4.数据探索-变量间的关系:分析变量之间的相关性和因果关系,帮助了解特征之间的影响。
-群组分析:将数据集中的样本划分为不同的组群,发现数据的内在结构和模式。
-关键性因素:识别影响特定结果的重要因素,找到数据集中的关键趋势和影响因素。
5.可视化分析-直方图:显示定量变量的分布情况,帮助了解数据的偏态和尾部情况。
-箱线图:显示定量变量的中位数、上下四分位数和异常值,有助于观察数据的离散情况。
-散点图:显示两个变量之间的关系,帮助检测变量之间的线性关系或异常值。
-折线图:显示变量随时间变化的趋势,用于分析时间序列数据。
6.结果解释和报告-对EDA结果进行总结和解释,包括数据集的特点、重要特征、异常值等。
-以清晰和可视化的方式呈现结果,如使用图表、表格等形式。
EDA复习知识点
内容:前六章
考试形式:闭卷考试
“考核方法:平时成绩20%;实验课操
作 40%;最后考试 40%
1
1
复习要点
基础知识:理解EDA基本概念,常见的可编 程逻辑器件的工作原理; Verilog语法:掌握模块、运算符、条件语句、 循环语句、块语句的使用和设计方法,理解 阻塞和非阻塞赋值区别;
for语句
for语句的使用格式如下:
for(循环变量赋初值;循环结束条件;循环变量增 值) 执行语句;(或begin_end块语句)
repeat语句
repeat语句的使用格式为:
repeat(循环次数表达式) 语句; 或 repeat(循环次数表达式) begin …… end
填空题
Eg1. 现有的两种主要的硬件描述语言是 Eg2.定义一个名字为Q1的8位reg总线。 逻辑功能。
A B C D E
和
。
Eg3. 将题中的连续赋值语句补充完整以实现对应电路的
F
assign F= E
( ((A B)
(C D) ) )
20 20
填空题
Eg4.请在下面的空格中填入适当的符号使其描述右图模块 module Block1(a,
PLD器件的分类--按结构特点
基于乘积项结构的器件--阵列型
PROM,EEPROM,PAL,GAL,
CPLD
基于查找表结构的器件--单元型
FPGA
PROM PLA PAL
固定的“与”+可编程的“或” 可编程的“与”+可编程的“或” 可编程的“与”+固定的“或”
CPLD
基于乘积项可编程逻辑结构
HDL综合
EDA题库(复习)
1、与软件语言编译的作用相似,在硬件语言中称之为____________。
综合2、综合是将描述电路的高级语言转换为低级的,可与FPGA/CPLD结构相映射的______文件。
网表3、综合可分为自然语言综合、行为综合、____________和____________。
逻辑综合结构综合4、仿真包括_______仿真和________仿真,其中________仿真包含硬件特性参数,仿真精度高。
功能时序时序5、对CPLD的程序下载通常称为编程,对FPGA的程序下载通常称为________,二者作用一样。
配置6、可编程逻辑器件的业界三巨头是ALTERA、________和________。
Lattice XILINX7、简单PLD包括PROM、________、________和GAL。
其中__________为与阵列固定,或阵列可编程。
PLA PAL PROM8、IP英文全称是Intellectual Property,中文含义是____________,可分为___________、____________和固IP。
知识产权核软IP 硬IP9、可编程逻辑器件从结构上分类,简单PLD和CPLD属于___________结构,FPGA属于___________结构。
乘积项查找表10、可编程逻辑器件从编程工艺上可分为熔丝型、反熔丝型、EPROM型、________型和_________型。
E2PROM SRAM11、PLD的基本结构包括输入电路、__________、__________和输出电路。
与阵列或阵列12、MAX7000系列CPLD以16个宏单元构成一个_____________,而每个宏单元包括5个____________。
逻辑阵列块乘积项13、乘积项扩展分为________扩展乘积项和________扩展乘积项两种方式。
共享并联14、FLEX10K 系列FGPA以8个逻辑单元构成一个__________,每个逻辑单元包含一个四输入的________。
EDA复习(1)
一、名词解释1逻辑综合——将电路的高级语言描述转换成低级的,可与FPGA/CPLD或构成ASIC的门阵列基本结构相映射的网表文件的过程。
2. 逻辑适配——将由综合器产生的网表文件针对某一具体的目标器进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布线与操作等,配置于指定的目标器件中,产生最终的下载文件的过程。
3 时序仿真——将布线器/适配器所产生的VHDL网表文件送到VHDL仿真器中所进行的仿真。
该仿真已将器件特性考虑进去了,因此可以得到精确的时序仿真结果二、填空题1. EDA的中文含义是电子设计自动化,CAD的中文含义是计算机辅助设计,FPGA的中文含义是现场可编程门阵列,CPLD的中文含义是复杂可编程逻辑器件。
2.CPLD在结构上主要分为三个部分,即可编程逻辑宏单元、可编程输入/输出单元和可编程内部连线三个部分。
3.VHDL的数据对象有常量、变量和信号三种。
VHDL程序设计中常用的库有 IEEE库、 STD库、WORK库和VITAL库。
4.VHDL是一种强数据类型语言,强数据类型的具体含义是:(1) 各参量必须具有确定的数据类型 (2)相同的数据类型的量才能进行操作。
5.IEEE_1076标准程序包中定义的四种常用端口模式分别是IN模式、OUT 模式、BUFFER模式和 INOUT 模式。
6 ISP的中文含义是在系统可编程特性,ASIC的中文含义是专用集成电路7常用的硬件描述语言有三种,分别是:(1) VHDL ;(2) Verilog ;(3)ABEL .8 最流行的第三方EDA工具有:逻辑综合性能最好的Synplify,仿真功能最强大的ModelSim9 VHDL的基本标识符就是以字母开头,不连续使用下划线,不以下划线“_”结尾的,由字母、数字以及下划线“_”组成的字符串10两种典型的状态机是摩尔状态机和米立状态机。
状态机的两种基本操作分别是状态机内部状态转换和产生输出信号序列三、问答题1.什么叫EDA技术?使用EDA技术进行电子系统设计有什么优点?答: EDA技术有狭义的EDA技术和广义的EDA技术之分。
《EDA技术》复习(期末)
…………………….
0F 3
;
()
’ ‘1'
<=(>‘0’);
;
;
Q1<;
;
………………………
(2)电平触发复位信号
…………………….
0F 3
;
()
‘1'
<=(>‘0’);
;
;
Q1<;
;
………………………
3判断下面三个程序中是否有错误,若有则指出错误所在,并给出完整程序。
程序1:
s1=> '1' <2; <0; ;
s2=> '0' <3; <0; ;
s3=> '1' <4; <0; ;
s4=> '0' <5; <0; ;
s5=> '0' <6; <0; ;
s6=> '1' <7; <0; ;
s7=> '1' <8; <0; ;
s8=> '0' <3; <0; ;
> <0;
;
s0s1 = "10" y <= c;
y <= d;
;
;
41;
解2:用语句实现4选1多路选择器
;
1164;
41
(: ;
s0: ;
s1: ;
y: );
41;
41 41
s0s1 : (1 0)定义标准逻辑位矢量数据类型
《EDA技术基础》复习资料
EDA复习资料《EDA技术基础》题库及参考答案(试用版)目录一、填空题 (I)二、单选题 (4)三、简答题 (10)四、应用题 (11)五、上机实验题 (15)一、填空题1.现代电子技术经历了CAD 、CAE 和EDA 三个主要的发展阶段。
2.EDA技术包括大规模可编程器件、硬件描述语言HDL 、EDA工具软件和实验开发系统四大要素。
3.EDA的设计输入主要包括文本输入方式、图形输入方式和波形输入方式三种形式。
4.目前已经成为IEEE标准、应用最为广泛的硬件描述语言有VHDL 和Verilog HDL 。
仿真是一种对所设计电路进行间接检测的方法,包括_ 功能仿真和_ 时序仿真。
5.层次化设计是将一个大的设计项目分解为若干个子项目或若干个层次来完成的。
先从底层的电路设计开始,然后在___高层次___的设计中逐级调用低层次的设计结果,直至实现系统电路的设计。
6.用HDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能块独立存在和独立运行____。
7.可编程逻辑器件从结构上可分为乘积项结构器件和查找表结构器件。
8.PLD(FPGA、CLPD)种类繁多,特点各异。
共同之处包括的三大部分是逻辑块阵列、输入/输出块和互连资源。
9.FPGA两类配置下载方式是主动配置方式和被动配置方式。
10.Quartus II是EDA器件制造商Altera公司自己开发的___EDA工具___软件。
11.Quartus II工具软件安装成功后、第一次运行前,还必授权。
12.Q uartus II支持原理图、__文本和波形等不同的编辑方式。
13.在Quartus II集成环境下,设计文件不能直接保存在计算机磁盘根目录中,因此设计者在进入设计之前,应当在磁盘根目录中建立保存设计文件的工程目录(文件夹)。
14.在Quartus II集成环境下执行原理图输入设计法,应选择___模块/原理图文件(Block Diagram/Schematic File ).__方法,设计文件的扩展名是__ .bdf_______。
EDA复习资料
填空题(本大题共10小题,每空1分,共20分)1.一般把EDA技术的发展分为MOS时代、CMOS时代和ASIC 三个阶段。
2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。
3.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。
4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。
5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。
6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。
7.以EDA方式设计实现的电路设计文件,最终可以编程下载到FPGA 和CPLD 芯片中,完成硬件设计和验证。
8.MAX+PLUS的文本文件类型是(后缀名).VHD 。
9.在PC上利用VHDL进行项目设计,不允许在根目录下进行,必须在根目录为设计建立一个工程目录(即文件夹)。
10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。
基于EDA软件的FPGA / CPLD设计流程:原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试图形输入包括:原理图输入、状态图输入、波形图输入。
设计输入表达方式:原理图输入方式、状态图输入方式、波形图输入方式以及HDL的文本输方式。
简要解释JTAG,指出JTAG的用途JTAG,joint test action group,联合测试行动小组的简称,又意指其提出的一种硬件测试标准,常用于器件测试、编程下载和配置等操作。
二、EDA名词解释,(10分)写出下列缩写的中文(或者英文)含义:1.ASIC 专用集成电路2.FPGA 现场可编程门阵列3.CPLD 复杂可编程逻辑器件4.EDA 电子设计自动化5.IP 知识产权核6.SOC 单芯片系统二、EDA名词解释,写出下列缩写的中文含义(10分)1.CPLD:复杂可编程逻辑器件2.ASIC:专用集成电路3.LUT:查找表4.EDA:电子设计自动化5.ROM:只读存储器二、EDA名词解释,写出下列缩写的中文(或者英文)含义:(14分)1. LPM 参数可定制宏模块库2. RTL 寄存器传输级3. UART 串口(通用异步收发器)4. ISP 在系统编程5. IEEE 电子电气工程师协会6. ASIC 专用集成电路7. LAB 逻辑阵列块1.试用VHDL描述一个外部特性如图所示的D触发器。
EDA
复习要点第一、二章要点1、什么是EDA技术;2、什么是EDA技术的3要素: VHDL, EDA工具,可编程器件。
3、了解基于VHDL的自顶向下的设计流程;4、了解常用EDA工具;5、了解一般ASIC设计流程、掌握各种ASIC设计方法的比较;6、基于FPGA/CPLD的设计流程;7、EDA领域的IP的英文全称是什么?什么是IP核?IP核主要分为哪三种?分别具有什么特点?8、技术名词:CAD、EDA 、SOC/SOPC、IP core、PLD、Time to Market、ASIC、ASSP、LAYOUT、PCB、ASIC 、CPLD 、FPGA、VHDL、PLD 、PAL 、ISP第三章 FPGA/CPLD结构与应用1、什么是可编程器件;2、了解可编程器件的分类、 SPLD的分类及结构特点;3、CPLD的结构与工作原理什么是基于乘积项的可编程结构:如图P27,图3-1所示Lattice的ispLSI1032芯片:了解ispLSI1032芯片体系结构及其特点;ALtera公司的MAX7000系列的CPLD器件:了解 MAX7000系列的CPLD器件结构及特点;4、FPGA结构与工作原理什么是基于查找表的可编程结构: 在RAM查找表结构中,RAM存储器中需预先存入所要实现函数的真值表数值,输入变量作为地址,用来从RAM存储器中选择相应的数值作为逻辑函数的输出值,这样就可以实现输入变量的所有可能的逻辑函数。
结构如P40,图3-32和图3-33:了解cyclone的结构组成及特点5、使用CPLD和FPGA设计逻辑电路的优点:1).规模越来越大,实现功能越来越强,同时可以实现系统集成。
2).研制开发费用低,不承担投片风险,使用方便。
3).通过开发工具在计算机上完成设计,电路设计周期短。
4).不需要设计人员了解很深的IC知识,EDA软件易学易用。
5).通过FPGA和CPLD开发的系统成熟后,可以进行ASIC设计,形成批量生产。
EDA复习资料
※<习题三>一、填空题1、VHDL语言是__ ______标准化语言。
2、一个完整的VHDL程序包含:__ ___、___ __、__ ___、 ___ __、__ ____五个部分。
3、____ ___部份说明了设计模块的输入/输出接口信号或引脚。
4、____ ___部份描述了设计模块的具体逻辑功能。
5、VHDL提供了四种端口模式:___ __、___ __、___ __、 __ ___。
6、关键字实体的英文是:__ ___。
7、关键字结构体的英文是:___ __。
8、VHDL语言常用的库有:__ ___、__ ___、 ____ _。
9、结构体的描述方式主要有:___ __和__ ___。
10、IEEE库常用的程序包有:__ ___、 _____、 ____ _。
11、程序包由: _____和__ ___构成二、选择题1、VHDL语言程序结构中必不可少的部分是:()(A)库(B)程序包(C)配置(D)实体和结构体2、VHDL语言端口模式中不允许内部引用该端口信号的是():(A)IN(B)OUT(C)BUFFER(D)INOUT3、下面哪种VHDL库使用时不需声明():(A)IEEE库(B)ASIC库(C)WORK 库(D)ALTERA库4、下面哪种VHDL库使用时不需声明():(A)IEEE库(B)ASIC库(C)STD 库(D)ALTERA库5、能反馈输出信号至内部的端口模式是():(A)IN(B)OUT(C)BUFFER(D)INOUT6、CLK为输入信号,其正确的端口说明是:()(A)CLK:IN BIT (B)CLK:OUT BIT (C)CLK:INOUT BIT (D)CLK:BUFFER BIT7、Q0为输出信号,但内部设计会用到其反馈信号,其正确的端口说明是:()(A)CLK:IN BIT (B)CLK:OUT BIT (C)CLK:INOUT BIT (D)CLK:BUFFER BIT8、STD_LOGIC_1164程序包的正确声明方法是:()(A)USE STD_LOGIC_1164 (B)USE IEEE.STD_LOGIC_1164(C)USE IEEE.STD_LOGIC_1164.ALL (D)USEWORK.STD_LOGIC_1164.ALL9、类属说明的正确格式是:()(A)GENERIC(delay:TIME=20us); (B)GENERIC(delay:TIME:=20us);(C)GENERIC(delay TIME=20us); (D)GENERIC(delay=TIME:=20us);10、使用STD_LOGIC数据类型,必须声明库()(A)ALTERA (B)STD (C)IEEE (D)WORK三、判断题1、IEEE库使用时必须声明。
EDA复习资料
第一部分:选择题1.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是 A 。
A. 器件外部特性;B. 器件的内部功能;C. 器件的综合约束;D. 器件外部特性与内部功能。
2.一个项目的输入输出端口是定义在 A 。
A. 实体中B. 结构体中C. 任何位置D. 进程体3.MAXPLUSII中编译VHDL源程序时要求 C 。
A.文件名和实体可不同名B.文件名和实体名无关C. 文件名和实体名要相同D. 不确定4.符合1987VHDL标准的标识符是 A 。
A. a_2_3B. a_____2C. 2_2_aD. 2a5.不符合1987VHDL标准的标识符是 C 。
A. a_1_inB. a_in_2C. 2_aD. asd_16.1987标准的VHDL语言对大小写是 D 。
A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感7.变量和信号的描述正确的是 B 。
A. 变量可以带出进程B. 信号可以带出进程C. 信号不能带出进程D. 二者没有区别8.对于信号和变量的说法,哪一个是不正确的: A 。
A.信号用于作为进程中局部数据存储单元B.变量的赋值是立即完成的C.信号在整个结构体内的任何地方都能适用D.变量和信号的赋值符号不一样9.下列关于信号的说法不正确的是 C 。
A . 信号相当于器件内部的一个数据暂存节点。
B. 信号的端口模式不必定义,它的数据既可以流进,也可以流出。
C. 在同一进程中,对一个信号多次赋值,其结果只有第一次赋值起作用。
D. 信号在整个结构体内的任何地方都能适用。
10.在VHDL中 D 不能将信息带出对它定义的当前设计单元。
A. 信号B. 常量C. 数据D. 变量11.可以不必声明而直接引用的数据类型是 C 。
A. STD_LOGICB. STD_LOGIC_VECTORC. BITD. 前面三个答案都是错误的12.STD_LOGIG_1164中定义的高阻是字符 D 。
EDA复习资料
一、掌握书上勾画的知识点,会出填空题ASIC:专用集成电路SOC:单片电子系统EDA:电子设计自动化HDL:硬件描述语言FPGA:现场可编程门阵列CPLD:复杂可编程逻辑器件SOPC:片上可编程系统CAD:计算机辅助技术CAM:计算机辅助制造CAT:计算机辅助测试CAE:计算机辅助工程IP:自主知识产权1)目前常用的HDL主要有VHDL、Verilog HDL、SystemVerilog和SystemC2)综合:把抽象的实体结合成单个或统一的实体。
3)传统的电子设计技术是自底向上的,当前是自顶向下的。
4)设计输入,逻辑综合,适配(结构综合),功能仿真与时序仿真,编程下载和硬件测试。
5)集成化EDA开发工具Altera的Quartus Ⅱ、Xilinx的ISE6)常用的HDL仿真器ModelSim7)IP核包括软IP、固IP、硬IP8)可编程逻辑器件的演变过程:20世纪70年代,PROM、PLA;20世纪70年代末,PAL; 20世纪80年代初,Lattice发明GAL;20世纪80年代中期,Xilinx生产FPGA,同期Altera 推出EPLD; 20世纪80年代末,Lattice提出CPLD;20世纪90年代后,SOPC,FPGA(更加瞩目)9)PLD器件从“与—或”阵列和门阵列两类基本结构发展起来,从结构上分两大类:1)乘积项结构器件,其基本结构为“与-或”阵列;2)基于查找表结构的器件10)与posedge CLK对应的还有negedge CLK,这是时钟下降沿敏感的表达11)assgin引导的语句属于并行语句,always语句属于并行语句,内部引导顺序结构12)if语句具有优先级,case并列平等13)从状态机的信号输出方式上分为Moore和Mealy,状态机结构中通常都包含了说明部分、主控时序过程、主控组合过程、辅助过程14)数据类型是Verilog用来表示数字电路硬件中的物理连线、数据存储对象和传输单元,包括网络类型(net)、寄存器类型(register)、存储器类型。
EDA复习
1、EPGA的中文意思是什么?完全由用户通过软件进行配置和编程,从而完成某种特定的功能,并且可以反复擦写。
2、所谓敏感信号表达式。
即当该表达式中的变量的值改变时,就会引发块内语句的执行always过程语句的敏感信号可以分为两种类型:一种为边沿敏感型、一种为电平敏感型。
“always”过程语句通常是带有触发条件的,触发条件写在敏感信号表达式中,只有当触发条件满足时,其后的“begin-end”块语句才能被执行。
下面讨论敏感信号表达式“event-expression”的含义以及如何写敏感信号表达式IP核的感念和分类。
所谓敏感信号表达式,又称事件表达式或敏感信号列表,即当该表达式中变量的值改变时,就会引发块内语句的执行。
因此,敏感信号表达式中应列出影响块内取值的所有信号。
若有两个或两个以上信号,它们之间用“or”连接。
always @(posedge clk or posedge clr)//两个敏感信号都是边沿敏感型always @(a or b)//两个敏感信号都是电平敏感型always @(posedge clk or clr)//不建议这样用,最好不要将边沿敏感型和电平//敏感型列在一起3、每个Verilog程序包括4个主要部分:模块声明、端口定义、信号类型说明和逻辑功能描述。
1)模块声明:模块声明包括模块名字和模块输入、输出端口列表。
其格式如下:module 模块名(端口1,端口2,端口3……);模块结束的标志为关键字:endmodule。
2)端口(Port)定义:对模块的输入、输出端口要明确说明,其格式为:端口是模块与外界或其他模块连接和通信的信号线,如图所示:有三种类型的端口:输入端口(input)、输出端口(output)和输入/输出端口(inout).3)信号类型声明:对端口的输入输出除了要明确说明外,还要进行信号数据类型的定义。
Verilog语言提供了各种信号类型,分别模拟实际电路中的各种物理连接和物理实体。
EDA期末复习题
第一章1、什么是EDA技术?EDA的英文全称是什么?答:EDA技术有狭义和广义之分,狭义EDA技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC自动设计技术。
2、利用EDA技术进行电子系统的设计有什么特点?答:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。
3、从使用的角度讲,EDA技术主要包括几个方面的内容?答:EDA技术的学习主要应掌握四个方面的内容:①大规模可编程逻辑器件;②硬件描述语言;③软件开发工具;④实验开发系统。
其中,硬件描述语言是重点。
4、硬件描述语言VHDL的特点是什么?5、什么是综合?有哪些类型?综合在电子设计自己动化地位是什么?6、什么是VHDL语言的自顶向下的设计方法?它与传统的数字逻辑系统设计方法有何不同?1、对于目标器件为FPGA/CPLD的VHDL设计,其工程设计包括几个主要步骤?每步的作用是什么?每步的结果是什么?答:第一:需要进行“源程序的编辑和编译”—用一定的逻辑表达手段将设计表达出来;第二:要进行“逻辑综合”---将用一定的逻辑表达手段将表达出来的设计经过一系列的操作,分解成一系列的逻辑电路及对应的关系(电路分解);第三:要进行目标器件的“布线/适配”---在选用的目标器件中建立这些基本逻辑电路的对应关系(逻辑实现)第四:目标器件的编程下载---将前面的软件设计经过编程变成具体的设计系统(物理实现);最后要进行硬件仿真/硬件测试---验证所设计的系统是否符合要求。
EDA复习提纲(有答案)安徽农业大学(12电气龙凤兰)
第1章1.什么是EDA技术?什么是狭义EDA?什么是广义EDA?P1EDA 技术是一门迅速发展起来的新技术。
EDA 设计就是设计人员在计算机上通过特定功能的软件开发工具,以全自动或半自动化方式按要求完成电子系统的设计。
狭义EDA 技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。
简单的说狭义EDA 技术也就是使用EDA 软件进行数字系统的设计。
广义EDA 技术就是通过计算机及其电子系统的辅助分析和设计软件,完成电子系统某一部分的设计过程。
2.利用EDA技术进行电子系统设计的最终目标是什么?P23.IEEE标准化的HDL语言有哪两种?P3VHDL和Verilog4.EDA开发设计流程的步骤?其中设计输入有哪些方法?什么是综合?什么是适配?什么是功能仿真?什么是时序仿真?P5~8步骤:设计目标、设计输入、功能仿真、综合优化、综合后仿真、实现或适配、时序仿真、设计下载、系统调试以及验证等。
设计输入方法:图形输入、硬件描述语言代码文本输入。
综合:表面含义:把抽象的实体结合成单个或统一的实体。
电子设计领域:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。
适配:指将综合生成的逻辑网表描述为具体CPLD芯片的实现过程。
功能仿真:是对HDL、原理图描述或其他描述形式的逻辑功能进行测试模拟,以了解其实现的功能是否满足原设计要求的过程。
时序仿真:接近真实器件时序性能运行特性的仿真。
5.可编程逻辑器件有哪些分类方法?各可分为哪几类?P10●集成度分:低集成度芯片、高集成度芯片;●结构:乘机项结构器件、查找表结构器件;●编程工艺:熔丝型、反熔丝型、EPROM型、EEPROM型、SRAM型、Flash型。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA复习材料第一章一、相关英文单片电子系统SoC System on a ChipEDA: 电子设计自动化PLD 可编程逻辑器件CPLD 复杂可编程逻辑器件FPGA 现场可编程门阵列HDL 硬件描述语言ASIC 特定用途集成电路/专用集成电路SOPC 可编程的片上系统二、手工设计方法的缺点是;A、复杂电路的设计、调试十分困难B、由于无法进行硬件系统仿真,如果某一过程存在过错,查找和修改十分不便C、设计过程中产生大量文档,不易管理D、对于IC设计而言,设计实现过程与具体生产工艺直接相关,因此可移植性差E、只有在设计出样机或生产出芯片后才能进行实测相比的eda技术有很大不同:(1)用HDL对数字系统进行抽象的行为与功能描述以及具体的内部线路结构描述,从而可以在电子设计的各个阶段、各个层次进行计算机模拟验证,保证设计过程的正确性,可以大大降低成本,缩短设计周期(2)EDA工具有各类库的支持(3)某些HDL也是文档型的语言,极大简化了设计文档的管理(4)EDA技术中最瞩目的功能是最具现代电子设计技术特征的功能日益强大的逻辑设计仿真测试技术(5)基于EDA技术的设计的不同,由于用HDL表达的成功的专用功能设计在实现目标方面有很大的可选性,它既可以用不同来源的通用FPGA/CPLD实现,也可以直接以ASIC来实现,设计者拥有完全的自主权,再无受制于人之虞(6)设计成果是通用性的,IP核具有规范的接口协议。
良好的可移植与可测试性,为系统开发提供了可靠的保证(7)将所有设计环节纳入统一的自顶而下的设计方案(8)各个设计层次上利用计算机完成不同内容的仿真模拟,而且在系统板设计结束后仍可利用计算机对硬件系统进行完整的测试第二章一、图形输入:原理图输入、状态图输入和波形图输入二、VHDL、Verilog三、(1)时序仿真,就是接近真实器件运行特性的仿真,仿真文件包含器件硬件特性参数,仿真精度高(2)功能仿真,是直接对VHDL、原理图描述或其他描述形式的逻辑功能进行测试模拟,以了解其实现的功能是否满足原设计的要求,仿真过程不涉及任何具体器件的硬性特性四、IP就是知识产权核或知识产权模块软IP是用VHDL等硬件描述语言描述的功能块,但是并不涉及用什么具体电路元件实现这些功能固IP是完成了综合的功能块硬IP提供设计的最终阶级产品:淹模。
随着设计深度的提高,后续工序所需要做的事情就越少,灵活性也就越小。
第三章一、可编程的查找表(Look Up Table,LUT)可编程的最小逻辑构成单元LAB 逻辑阵列块由多个逻辑单元LEPLL 锁相环LVDS 低压差分串行RSDS 去抖动差分信号联合测试行动组(Joint Test Action Group,JTAP)JTAP BST边界扫描I/O引脚功能:TDI 测试数据输入TDO 测试数据输出TMS 测试模块选择TCK 测试时钟输入TRST 测试复位输入具体看P48页二、PS(被动串行)模式:MSEL1=0 MSEL0=0PPS(被动并行同步)模式:MSEL1=1 MSEL0=0PPA(被动并行异步)模式:MSEL1=0 MSEL0=1PSA(被动串行异步)模式:MSEL1=1 MSEL0=0JTAG模式; MSEL1=0 MSEL0=0AS(主动串行)模式第四章一、INOUT;定义的通道确定为输入输出双向端口BUFFER缓冲端口,其功能与INOUT区别在于当需要输入数据时,只允许内部回读输出的信号逻辑操作符;AND、OR、NOT二、WHEN-ELSE条件信号赋值语句赋值目标<= 表达式WHEN 赋值条件ELSE表达式WHEN 赋值条件ELSE```表达式;三、上升沿检测条件判断三个表达式:(1)CLK`EVENT AND CLK=’1’;(2)CLK`EVENT AND (CLK=’1’) AND (CLK`LAST-V ALUE=’0’)(3)四、STD-LOGIC所定义的九种含义;’U’表示未初始化;’X’表示强未知;’0’表示强逻辑0;’1’表示强逻辑1;’Z’表示高阻态;’W’表示弱未知;’L’表示弱逻辑0;’H’表示弱逻辑1;’-‘表示忽略;数据对象:信号(SIGNAL)变量(V ARIABLE)常量(CONSTANT)五、并置操作符&abc<=a&b的作用是令:abc(1)<=a;abc(0)<=b;第六章(1)IF 条件句Then顺序语句END IF;(2)IF 条件句Then顺序语句ELSE顺序语句END IF;(3)IF 条件句ThenIF 条件句Then、、、、END IFEND IF;(4)IF 条件句Then顺序语句ELSEIF 条件句Then顺序语句、、、、ELSE顺序语句END IF;第七章一、建立.mif格式文件选择ROM数据文件编辑窗口,在File菜单中选择New,并在New窗口中Other files页,在选择Memory Initilization File项,单击OK按钮后产生ROM数据文件大小选择窗口。
然后根据数据位数。
建立.hex格式文件在New窗口中选择Other files项后,选择Hexadecimal File项。
具体看P163 第八章一、状态机的优点:(1)状态机克服了纯硬件数字系统顺序方式控制不灵活的缺点(2)由于状态机的构建相对简单,设计方案相对固定,性能良好的综合器都具备许多可控或自动的优化状态机的功能(3)状态机容易构成性能良好的同步时序逻辑模块(4)状态机VHDL表述丰富多样,程序层次分明,结构清晰,易读易懂;在排错、修改和模块移植方面也有独到的好处(5)在高速运算和控制方面,状态机更有其巨大的优势二、状态位直接输出型编码的特点:将状态编码直接输出作为控制信号,即output=state,要求对状态机各状态的编码做特殊的选择,以适应控制时序的要求,这种状态机称为状态码直接输出型状态机.优点:输出速度快,没有毛刺现象.缺点;程序可读性差,用于状态译码的组合逻辑资源比其他以相同触发器数量构成的状态机多,而且难以有效的控制非法状态的出现顺序编码:最为简单,且使用的触发器数量最少,剩余的非法状态最少,容错技术最为简单。
缺点:尽管节省了触发器,却增加了从一种状态向另一种状态转换的译码组合逻辑,这对于在触发器资源丰富相对较少的FPGA器件中实现是不利的。
一位热码编码:一位热码编码方式尽管用了较多的触发器,但其简单的编码方式大为简化了状态译码逻辑,提高了状态转换速度,这对于含有较多的时序逻辑资源的FPGA器件是较好的解决方案。
第九章一、VHDL包含许多特有的文件规则和表达方式(具体参考P247)数字:1、整数:整数都是十进制的数2、实数;实数也都是十进制的数,但必须带加小数点3、以数制基数表示的文字:a、用十进制标明数制进位的基数b、数制隔离符号“#”c、表达数d、指数隔离符号“#”e、用十进制表示的指数部分,这一部分的数如果为0可以省去不写字符串;1、文字字符串是用双引号括起的一串文字2、数位字符串也称位矢量,是预定义的数据类型BIT一组数组。
第十章祥看VHDL基本语句的语法。
第十一章一、资源共享的定义:资源优化是一种一般化的方法,主要针对数据通路中耗费逻辑资源比较多的模块,通过选择、复用的方式共享使用该模块,以减少该模块的使用个数,达到减少资源使用、优化面积的目的。
串行化石指把原来耗用资源巨大、单时钟周期完成的并行执行的逻辑块分割开来,提取出相同的逻辑模块,在时间上复用该逻辑模块,用多个时钟周期完成相同的功能,其代价是工作速度被大为降低。
设计题:例4-2ENTITY mux21a ISPORT ( a, b: IN BIT;s: IN BIT;y: OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a ISSIGNAL d,e : BIT:BEGINd<= a AND (NOT s);e<= b AND s;y<= d OR e;END ARCHITECTURE one;十进制加法计数器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10 ISPORT (CLK,RST,EN:IN STD_LOGIC;CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT:OUT STD_LOGIC);END CNT10;ARCHITECTURE behav OF CNT10 ISBEGINPROCESS(CLK,RST,EN)V ARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF RST='1'THEN CQI:=(OTHERS=>'0');ELSIF CLK'EVENT AND CLK='1'THENIF EN='1'THENIF CQI<9 THEN CQI:=CQI+1;ELSE CQI:=(OTHERS=>'0');END IF;END IF;END IF;IF CQI=9 THEN COUT<='1';ELSE COUT<='0';END IF;(题目如果不是带进位的,此段不用写)CQ<=CQI;END PROCESS;END behav;十进制减法计数器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10 ISPORT (CLK,RST,EN:IN STD_LOGIC;CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT:OUT STD_LOGIC);END CNT10;ARCHITECTURE behav OF CNT10 ISBEGINPROCESS(CLK,RST,EN)V ARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF RST='1'THEN CQI:=9;ELSIF CLK'EVENT AND CLK='1'THENIF EN='1'THENIF CQI>0 THEN CQI:=CQI-1;ELSE CQI:=9;END IF;END IF;END IF;IF CQI=0THEN COUT<='1';ELSE COUT<='0';END IF; (题目如果不是带进位的,此段不用写)CQ<=CQI;END PROCESS;END behav;十六进制加法计数器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10 ISPORT (CLK,RST,EN:IN STD_LOGIC;CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT:OUT STD_LOGIC);END CNT10;ARCHITECTURE behav OF CNT10 ISBEGINPROCESS(CLK,RST,EN)V ARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF RST='1'THEN CQI:=(OTHERS=>'0');ELSIF CLK'EVENT AND CLK='1'THENIF EN='1'THEN CQI:=CQI+1;END IF;END IF;IF CQI=15 THEN COUT<='1';ELSE COUT<='0';END IF;(题目如果不是带进位的,此段不用写)CQ<=CQI;END PROCESS;END behav;十六进制减法计数器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10 ISPORT (CLK,RST,EN:IN STD_LOGIC;CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT:OUT STD_LOGIC);END CNT10;ARCHITECTURE behav OF CNT10 ISBEGINPROCESS(CLK,RST,EN)V ARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF RST='1'THEN CQI:=15;ELSIF CLK'EVENT AND CLK='1'THENIF EN='1'THEN CQI:=CQI-1;END IF;END IF;IF CQI=0 THEN COUT<='1';ELSE COUT<='0';END IF;(题目如果不是带进位的,此段不用写)CQ<=CQI;END PROCESS;END behav;8-4 状态机设计b图LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY s_machine1 ISPORT ( inta : IN STD_LOGIC_VECTOR(2 DOWNTO 0);CLK,RST : IN STD_LOGIC;outa: OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END s_machine1;ARCHITECTURE behav OF s_machine1 ISTYPE ST_TYPE IS (ST0, ST1, ST2, ST3);SIGNAL C_ST : ST_TYPE ;BEGINPROCESS(CLK,RST)BEGINIF RST ='1' THEN C_ST <= ST0 ; outa<= "0000" ; ELSIF CLK'EVENT AND CLK='1' THENCASE C_ST ISWHEN ST0 => IF inta ="101" THEN outa <= "0010" ; ELSIF inta ="111" THEN outa <= "1100";ELSE NULL;END IF;C_ST <= ST1 ;WHEN ST1 => IF inta ="000" THEN C_ST <= ST1 ; ELSIF inta ="110" THEN C_ST <= ST2 ;ELSE NULL; END IF;outa <= "1001" ;WHEN ST2 => IF inta ="100" THEN C_ST <= ST2 ; ELSIF inta ="011" THEN C_ST <= ST1 ;ELSE C_ST <= ST3 ; END IF;outa <= "1111" ;WHEN ST3 => IF inta ="101" THEN outa <= "1101" ; ELSIF inta ="011" THEN outa <= "1110" ;ELSE NULL;END IF;C_ST <= ST0 ;WHEN OTHERS => C_ST <= ST0;outa<= "0000" ; END CASE;END IF;END PROCESS;END behav;c图LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY s_machine0 ISPORT ( clk,reset : IN STD_LOGIC;inta : IN STD_LOGIC_VECTOR (0 TO 2);outa : OUT STD_LOGIC_VECTOR (0 TO 3));END s_machine0;ARCHITECTURE behv OF s_machine0 ISTYPE FSM_ST IS (s0, s1, s2, s3); --数据类型定义,状态符号化SIGNAL current_state, next_state: FSM_ST;--将现态和次态定义为新的数据类型BEGINREG: PROCESS (reset,clk) --主控时序进程BEGINIF reset = '1' THEN current_state <= s0;--检测异步复位信号ELSIF clk='1' AND clk'EVENT THENcurrent_state <= next_state;END IF;END PROCESS;COM:PROCESS(current_state,inta) --主控组合进程BEGINCASE current_state ISWHEN s0 =>IF inta = "101" THEN outa<="0100";ELSE outa<="0011";END IF;next_state<=s1;WHEN s1 => outa<="1001";IF inta = "000" THEN next_state<=s1;ELSE next_state<=s2;END IF;WHEN s2 => outa<="1111";IF inta = "001" THEN next_state<=s2;ELSIF inta = "110" THEN next_state<=s1; ELSE next_state<=s3; END IF;WHEN s3 =>IF inta = "101" THEN outa<="1011";ELSE outa<="0111";END IF;next_state<=s0;END CASE;END PROCESS;END behv;。